HDLBITS笔记31:有限状态机一(FSM1、FSM1S、FSM2、FSM2S)

目录

题目1:FSM1(异步复位)

 题目2:FSM1S(同步复位)

题目3:FSM2(异步复位)

 题目4:Fsm2s(同步复位)


题目1:FSM1(异步复位)

这是一个摩尔状态机,具有两个状态,一个输入和一个输出。实现此状态机。请注意,重置状态为 B。

此练习与fsm1s,但使用异步重置。

模块声明

module top_module(
    input clk,
    input areset,    // Asynchronous reset to state B
    input in,
    output out);

分析:

  • 状态机的代码编写方式有三种:一段式,两段式和三段式。其中一段式不推荐,常用为两段式和三段式。两段式和三段式的区别在于三段式多了一个寄存器输出,在某些情形下能够消除电路中毛刺现象。
  • 状态机有摩尔状态机和米利型状态机。其中摩尔状态机的电路输出只与触发器的状态有关,不受电路中输入信号的影响或者没有输入信号;而米利型状态机则不仅仅与触发器的状态有关,还受电路中的输入信号的影响。由此可知,摩尔状态机只是米利型状态机的特例。

代码使用两段式编写:

module top_module(
    input clk,
    input areset,    // Asynchronous reset to state B,异步置零
    input in,
    output out);//  
 
    parameter A=0, B=1; 
    reg state, next_state;
 
    always @(*) begin    // This is a combinational always block,组合逻辑
        // State transition logic
		case(state)
			A:next_state = in ? A : B;
			B:next_state = in ? B : A;
		endcase
    end
 
    always @(posedge clk, posedge areset) begin    // This is a sequential always block,时序逻辑
        // State flip-flops with asynchronous reset
		if(areset)
			state <= B;
		else
			state <= next_state;
    end
 
	assign out = state;
    // Output logic
   //输出逻辑,根据当前状态实现输出
 
endmodule

仿真结果:

 题目2:FSM1S(同步复位)

这是一个摩尔状态机,具有两个状态,一个输入和一个输出。实现此状态机。请注意,重置状态为 B。

此练习与fsm1,但使用同步复位。

模块声明

// Note the Verilog-1995 module declaration syntax here:
module top_module(clk, reset, in, out);
    input clk;
    input reset;    // Synchronous reset to state B
    input in;
    output out;

分析:这道题与上题一样,只是使用的复位方式不同,该题使用同步复位。代码编写如下:

// Note the Verilog-1995 module declaration syntax here:
module top_module(clk, reset, in, out);
    input clk;
    input reset;    // Synchronous reset to state B
    input in;
    output out;//  
    reg out;

    // Fill in state name declarations
parameter A = 0, B=1;
    reg present_state, next_state;
        //组合电路
    always @(*)
        
       begin
            case (present_state)
                // Fill in state transition logic
                A:next_state = in ? A:B;
                B:next_state = in ? B:A;
            endcase
        end
       //时序电路
    always @(posedge clk) begin
        if (reset) begin  
            // Fill in reset logic
            present_state <= B;
                  end 
        else
            present_state <= next_state; 
    end
    assign out = present_state;
endmodule

仿真结果:

 题目1和题目2实际是翻转后的T触发器的状态转移图,只是两者的置位方式不同。

题目3:FSM2(异步复位)

这是一个摩尔状态机,具有两个状态、两个输入和一个输出。实现此状态机。

此练习与fsm2s,但使用异步重置。

 

模块声明

module top_module(
    input clk,
    input areset,    // Asynchronous reset to OFF
    input j,
    input k,
    output out); 

分析:所给电路实际是JK触发器的状态转移图。状态表示为OFF和ON,异步置位端为areset,输入信号为j/k,时钟为clk。

代码编写如下:

module top_module(
    input clk,
    input areset,    // Asynchronous reset to OFF
    input j,
    input k,
    output out); //  

    parameter OFF=0, ON=1; 
    reg state, next_state;
//组合逻辑
    always @(*) begin
        // State transition logic
        case(state)
            OFF:next_state = j ? ON:OFF;
            ON:next_state = k ? OFF:ON;
        endcase

    end
//时序逻辑
    always @(posedge clk, posedge areset) begin
        // State flip-flops with asynchronous reset
                if(areset)
            state <= OFF;
        else
            state <= next_state;
    end

    // Output logic
    assign out = state;

endmodule

 仿真结果如下:

 题目4:Fsm2s(同步复位)

这是一个摩尔状态机,具有两个状态、两个输入和一个输出。实现此状态机。

此练习与fsm2,但使用同步复位。

 

模块声明

module top_module(
    input clk,
    input reset,    // Synchronous reset to OFF
    input j,
    input k,
    output out); 

分析:与题目3的区别在于该题使用同步复位。代码编写如下:

module top_module(
    input clk,
    input reset,    // Synchronous reset to OFF
    input j,
    input k,
    output out); //  

    parameter OFF=0, ON=1; 
    reg state, next_state;
//组合逻辑
    always @(*) begin
        // State transition logic
        case(state)
            OFF: next_state = j ? ON:OFF;
            ON: next_state = k ? OFF:ON;
        endcase
    end
//时序逻辑
    always @(posedge clk) begin
        // State flip-flops with synchronous reset
        if(reset)
            state <= OFF;
        else
            state <= next_state;
    end

    // Output logic,输出逻辑
    assign out = state;

endmodule

仿真结果如下:

 

 

  • 3
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog FSM(Finite State Machine)是用Verilog语言编写的有限状态机。通过组合逻辑和时序逻辑的描述来实现对具有逻辑顺序事件的控制。在Verilog中,FSM的编写可以遵循一定的规范和推荐写法。 在编写Verilog FSM时,通常会使用always块来描述时钟上升沿或复位信号的触发条件。在这个always块中,可以使用if-else语句来处理复位信号,并根据当前状态和输入信号进行状态转移。 另外,为了使代码结构规范清晰,通常会使用三段式状态机的写法。第一个always块用来描述状态转移的触发条件,第二个always块用来描述下一状态的判断,第三个always块用来描述各状态的输出。这样的写法可以将组合逻辑和时序逻辑分开,易于综合。 在Verilog中,状态的编码可以使用二进制、格雷码或独热码。二进制编码简便,适用于小型设计。格雷码需要状态顺序跳变才能利用其特性,而独热码则常用于状态机设计中,因为它的译码简单,节省组合逻辑,并且时序更快,还能减少毛刺产生的概率。 下面是一个示例的Verilog FSM代码,其中使用了独热码编码状态和状态转移的逻辑: ```verilog localparam S0 = 4'b0001; localparam S1 = 4'b0010; localparam S2 = 4'b0100; localparam S3 = 4'b1000; reg [3:0 current_state; reg [3:0 next_state; // 状态转移 always @(posedge clk or negedge rst_n) begin if(!rst_n) current_state <= S0; else current_state <= next_state; end // 下一状态判断 always @ (*) begin case(current_state) S0 : next_state = S1; S1 : next_state = S2; S2 : next_state = S3; S3 : next_state = S0; default: next_state = S0; endcase end // 状态输出 always @(posedge clk or negedge rst_n) begin if(!rst_n) begin // reset condition end else begin case(current_state) S0 : begin // state S0 output end S1 : begin // state S1 output end S2 : begin // state S2 output end S3 : begin // state S3 output end default : ; endcase end end ``` 这个示例代码展示了一个简单的Verilog FSM,使用独热码编码了四个状态,并根据时钟信号和复位信号实现了状态转移和状态输出逻辑。你可以根据具体的需求修改状态和状态转移的逻辑,以及每个状态的输出逻辑。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [verilog FSM 范例](https://download.csdn.net/download/u013560111/6884151)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *2* *3* [【Verilog】FSM设计](https://blog.csdn.net/m0_52840978/article/details/123390136)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值