【学习笔记】基于Arm Cortex-M0 DesignStart Eval设计SOC(二)

三、系统总体架构

上一篇文章简单介绍了官方提供的模块,本文将介绍示例系统的架构。

Cortex-M0 采用 ARMv6-M0 架构,内部结构如图 、所示。内部有一颗专为嵌入式应用设计的处理器核、可嵌套向量中断微控制器(NVIC)、可选的唤醒 中断控制器(WIC)以及连接内部单元的内部总线系统,对外提供了调试子系统 以及 AHB-Lite 总线系统。

由于官方已提供各模块的代码,本项目中最重要的部分是AHB总线的设计。本项目将由M0的mcu、AHB总线及ROM、RAM和GPIO共同构成一个CPU。下图是AHB总线的互联结构。

四、系统各部分说明

本项目将根据AHB总线互联结构进行设计。首先来了解一下主机部分。

在AT510-MN-80001-r2p0-00rel0\AT510-MN-80001-r2p0-00rel0\systems\cortex_m0_mcu\verilo

  • 3
    点赞
  • 26
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值