基于ModeIsime的实验:逻辑功能的仿真与测试

https://v.youku.com/v_show/id_XNTkxNjYzMDE0NA==.html?x&sharefrom=android&sharekey=097f55e4a58793a17ad1d947acca9ba03

一,实验原理

 

利用modelsim仿真软件,实现逻辑功能的仿真与测试。

 

首先在对一个设计快进行仿真时,需要准备一个测试模块。该模块大,只有三部分组成。第一部分实力引用被测试的模块。第二部分是输入信号附各种不同的组合值,第三部分指定测试结果的显示格式,并输出文件名。

 

二,选择文件名mux2to1_df.v。里面文件内容是,module mux2tol_df (

input D0,D1,S,

output wire Y

);assign Y = (~S & D0) |(S & D1);

endmodule

 

test_mux2to1_df.v

`timescale 1ns/1nsmodule test_mux2tol_df;reg PD0,PD1,PS;wire PY;mux2tol_df  

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值