modelsim的仿真过程

modelsim的仿真过程

1.按图示操作
1)verilog代码(fulladd.v)

module fulladd(sum, c_out,a,b,c_in);
output sum,c_out;
input a,b,c_in;

wire s1,c1,c2;

xor (s1,a,b);
and (c1,a,b);
xor(sum,s1,c_in);
and (c2,s1,c_in);
or (c_out,c2,c1);

endmodule

2)测试文件代码(test.v)

module test;
wire sum,c_out;
reg a,b,c_in;

fulladd fadd(sum,c_out,a,b,c_in);
/*
initial
begin
	#15 force fadd.sum=a&b&c_in;
	#20 release fadd.sum;
	
	#10 $stop;
end
*/
initial
begin
		a=0;b=0;c_in=0;
	#10 a=0;b=0;c_in=1;
	#10 a=0;b=1;c_in=0;
	#10 a=0;b=1;c_in=1;
	#10 a=1;b=0;c_in=0;
	#10 a=1;b=0;c_in=1;
	#10 a=1;b=1;c_in=0;
	#10 a=1;b=1;c_in=1;
	#10 $stop;
end
endmodule
  • 打开Modelsim在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述
2.按视频操作

连接地址:modelsim简单仿真

版权:转载请说明出处呀

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值