zynq学习之fpga篇(三)状态机的使用

一、设计要求

可乐机每次投1枚一块硬币,每瓶可乐3块钱,投3个硬币就可以出可乐。

二、模块设计

状态转移图

分析

一个简单的状态转移图,由此编写代码。

四、代码编写

module simple_fsm(
		input	wire 	sys_clk		,
		input	wire 	sys_rst_n	,
		input	wire	pi_money	,
		
		output	reg		po_cola
    );
parameter		IDLE = 3'b001;
parameter		ONE  = 3'b001;
parameter		TWO  = 3'b001;	

reg		[2:0]	state		;


always@(posedge sys_clk or negedge sys_rst_n)
	if(!sys_rst_n)
		po_cola <= 1'b0 ;
	else  case(state)
		IDLE	:	if(pi_money==1'b1)
						state <= ONE 	;
					else
						state <= IDLE 	;
		ONE 	:	if(pi_money==1'b1)
						state <= TWO 	;
					else
						state <= ONE 	;	
		TWO 	:	if(pi_money==1'b1)
						state <= IDLE 	;
					else
						state <= TWO 	;	
		default			state <= IDLE 	;
	endcase
						

always@(posedge sys_clk or negedge sys_rst_n)
	if(!sys_rst_n)
		po_cola <= 1'b0 ;
	else if((pi_money==1'b1)&&(state==TWO))
		po_cola <= 1'b1 ;
	else
		po_cola <= 1'b0 ;

endmodule

五、RTL视图

 这篇想找一个简单的状态机实例,没有找到,下一篇开始进一阶,数码管驱动。

不啻微芒,造炬成阳。

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值