触发器电路仿真与硬件实现

触发器电路仿真与硬件实现

一、RS锁存器

RS锁存器是时序电路中最基础的,我们可以简单将它理解为一个存储单元,可以存储一位数据(0或者1)。基本的RS锁存器可以用两个与非门或者或非门实现,本实验我们选择用两个与非门来构造。
首先,我们先在logsim中进行仿真实验,搭建如下电路:
在这里插入图片描述
RS触发器中的R表示Reset(复位),S表示Set(置位);
虽然RS触发器输出有Q和`Q(它们的值反相),但是平时沟通时是以Q为默认,比如说RS触发器输出1,那么就是指Q输出1。
然后,通过调节R、S我们可以得到其真值表:
在这里插入图片描述
从中,我们可以得到以下结论:
(1)不论现态是什么
在R端施加低电平能将现态强制的转换到“0”态;
在S端施加低电平能将现态强制的转换到“1”态;
为保证(与非门)RS触发器正常工作,必须满足R、S不能同时为零。
(2)R和S端的有效电平为低电平。

实际电路如下:
在这里插入图片描述

二、D触发器

RS触发器当输入R=1,S=1的情况时,输出是不确定的状态,因此我们引入D触发器来解决输入约束问题。
D触发器的logsim仿真图和实际电路如下:
在这里插入图片描述
在这里插入图片描述
我们将其分为两个部分,第一部分:
在这里插入图片描述
其真值表为:
在这里插入图片描述
第二部分:
在这里插入图片描述
其真值表为:
在这里插入图片描述

三、小结

相较于RS触发器,D触发器的电路逻辑较为复杂。然而,其拥有更好的稳定性和可控性。由于状态不稳定性,RS触发器在实际应用中使用受到限制。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值