FPGA学习--Quartus Ⅱ实现3-8译码器设计

FPGA学习--Quartus Ⅱ实现3-8译码器设计

一、工程建立

1、点击File中的New Project Wizard,新建一个工程

2、出现如下图所示的界面,直接点击Next,不需要其他操作

3、点击Next之后,出现以下界面,其中第一栏为工程路径,注意路径中不能出现中文!!!

第二栏为工程名,这次实现的为38译码器,工程名为decoder3-8。

第三栏为顶层名,一般在写了第二栏之后第三栏会自动与第二栏保持一致。

全部弄好之后点击Next

4、这个是添加设计代码的地方,不用管它,直接点击Next

5、这个页面主要是选择开发芯片型号,而我们只需要仿真,所以直接点Next就行

6、因为要调用modelsim仿真,所以这里仿真就选择modelsim,语言的话,我们使用的是verilog HDL。然后点击Next。

7、这个界面就是一个你的所选清单,直接点击Finish。

8、点击File-New,或者直接快捷键Ctrl+N,选择verilog HDL文件,这里我们的所有前期工作已经完成,后面就可以开始编程了

二、程序编写

下面是我写的38译码器的代码,你们稍微改一下就可以拿去用了

module decoder3_8(A1,A2,A3,out);
input  A1;//输入信号A1
input  A2;//输入信号A2
input  A3;//输入信号A3  
output reg [7:0]out;//8位输出信号
​
always@(A1,A2,A3)
    begin
        case({A1,A2,A3})
            3'b000:out=8'b0000_0001;
            3'b001:out=8'b0000_0010;
            3'b010:out=8'b0000_0100;
            3'b011:out=8'b0000_1000;
            3'b100:out=8'b0001_0000;
            3'b101:out=8'b0010_0000;
            3'b110:out=8'b0100_0000;
            3'b111:out=8'b1000_0000;
            default:out=8'b0000_0000;
        endcase
    end
endmodule
​

编译

编译的步骤就按照下面的几个图就行了,这里就不多讲了

出现问题

在编译过程中出现了一点问题,显示错误的是Error (12007): Top-level design entity "decoder3-8" is undefined

(有相同问题的可以按照下面给的方法试一试)

这里就需要修改顶层模块名字,方法:Assignments -> Settings -> Top-Level Entity ->Select your module,全完成之后点击OK,再重新编译就行了。

如下图所示

仿真

1、像之前一样的步骤建立University Program VWF文件,点击File-New,或者直接快捷键Ctrl+N,选择University Program VWF文件

2、点了University Program VWF文件,就会跳出下面的界面

3、这时我们要在界面左侧Name一栏下面点击鼠标右键,选择第二个Insert Node or Bus 选项

然后选择Node Finder选项,点击list选项后,点击右移符号

这样我们就导入了输入和输出

4、导入之后我们就开始给每一段的输入电平,然后进行仿真

这里介绍两种给电平的方法,第一种是选中一段输入,然后选择上面的高低电平(用细线圈出的0、1)

第二种是直接点击输入(A1、A2、A3),再点击(粗实线圈出的)C,自己选择进制,起始值,步进值以及每一段时长

下面这个为最后的仿真结果

补充

作者我也是FPGA初学者,哪里有问题还请见谅,有问题的可以私信我

  • 23
    点赞
  • 69
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
### 回答1: 以下是Quartus II设计3-8译码器的基本过程: 1. 打开Quartus II软件并创建一个新的工程。 2. 在工程中创建一个新的原理图文件。 3. 在原理图中添加8个输入引脚和8个输出引脚。将它们按照3-8译码器的真值表排列。 4. 在原理图中添加一个VHDL模块并将其命名为decoder。 5. 在decoder模块中定义输入和输出信号,以及3-8译码器的逻辑功能。 6. 编写VHDL代码,根据输入信号的真值表输出正确的输出信号。 7. 保存并编译设计文件。 8. 在Quartus II中生成网表文件,并将其下载到FPGA中。 9. 使用信号发生器或开关等外部设备测试设计的正确性。 以上是基本的Quartus II设计3-8译码器的过程,具体实现可能会因不同版本的软件而略有不同。 ### 回答2: Quartus II是一种EDA(电子设计自动化)软件,用于数字逻辑电路设计仿真。在Quartus II设计一个3-8译码器的过程如下: 1. 打开Quartus II软件,并创建一个新的项目。 2. 在项目管理器中,右键单击"Design Sources"文件夹,选择"New",然后选择"Verilog HDL File"。 3. 在弹出的对话框中,输入文件名,例如"decoder.v",然后点击"OK"。 4. 在新的Verilog文件中,使用Verilog语言编写3-8译码器的逻辑设计。 5. 3-8译码器由3个输入端口和8个输出端口组成。输入端口通常被命名为A、B和C,输出端口通常被命名为Y0到Y7。 6. 使用if-else语句或case语句来实现3-8译码器的逻辑功能。根据输入信号A、B和C的组合,将输出信号Y0到Y7的某个输出置为高电平,其余输出置为低电平。具体的逻辑功能可以根据需要进行编写。 7. 编写完成后,保存Verilog文件。 8. 在项目管理器中,右键单击"Design Sources"文件夹,选择"Add Existing Files",然后选择刚才保存的Verilog文件。 9. 在项目管理器中,右键单击"EDA Tool Settings"文件夹,选择"EDA Tool Settings"。 10. 在弹出的对话框中,选择"Simulation"选项卡,然后选择仿真工具和仿真模型库。确保仿真工具和仿真模型库与你所使用的仿真平台相匹配。 11. 保存项目设置。 12. 在设计完成后,对项目进行编译和仿真。 以上步骤仅给出了在Quartus II设计3-8译码器的大致过程。具体的设计过程还取决于你的具体需求和仿真平台。在设计之前,建议详细查阅Quartus II的用户手册和相关文档,以便更好地了解和应用工具的功能。 ### 回答3: quartus2是一款常用的数字逻辑设计软件,用于FPGA和CPLD设计设计一个3-8译码器的过程如下: 1. 打开quartus2软件,创建一个新的工程文件,并选择相应的FPGA型号。 2. 在工程文件中创建新的设计文件,选择VHDL或Verilog作为设计语言。 3. 在设计文件中定义3-8译码器的输入和输出端口。 4. 使用if-else语句或case语句来实现3-8译码器的逻辑功能。例如,可以根据输入信号的不同取值,将输出信号设置为对应的“1”或“0”。 5. 通过quartus2提供的仿真工具,对设计文件进行功能仿真,检查译码器的逻辑功能是否正确。 6. 在quartus2的项目资源管理器中,选择FPGA器件并进行引脚分配,将设计的信号与FPGA芯片的IO引脚相连接。 7. 在quartus2的约束文件中,添加所需的时序约束,以确保设计能够在特定时钟频率下正常工作。 8. 使用quartus2提供的编译工具,对设计文件进行综合和布局布线。这将会生成一个可配置的bitstream文件,用于FPGA实现。 9. 将生成的bitstream文件下载到目标FPGA芯片上进行编程。可以使用quartus2提供的烧录工具或外部烧录器。 10. 在FPGA芯片上进行硬件验证,检查实际的3-8译码器功能是否与设计一致。 总之,quartus2可以帮助设计人员进行FPGA和CPLD的数字逻辑设计,并提供了丰富的工具和功能来实现和验证设计。以上是使用quartus2进行3-8译码器设计的基本步骤和流程。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值