SV学习笔记(十)-包的使用

包的使用

  • 为了可以在多个模块(硬件)或者类(软件)之间共享用户定义的类型,SV添加了包(package)

  • 包的概念参考与VHDL,用户自定义的类型如:类、方法、变量、枚举类等都可以在包中定义

    package definitions;
        parameter VERSION = "1.1";
        typedef enum {ADD, SUB, MUL} opcodes_t;
        typedef struct {
            logic [31:0] a, b;
            opcodes_t opcode;
        } instruction_t;
        function automatic [31:0] multiplier(input[31:0] a, b);
            return a*b;
        endfunction
    endpackage
    
  • 导入包

    • 方法一

      // 包a
      package pkg_a;
      	class packet_a;
      	endclass
      	int a=1;
      endpackage
      // 包b
      package pkg_b;
      	class packet_b;
      	endclass
      	int b;
      endpackage
      
      module testbench_top();
          initial begin
              $display("pkg_a::a is %0d", pkg_a::a);	// 使用::获取包内的成员
              $display("pkg_b::b is %0d", pkg_b::b);
          end
      endmodule
      
    • 方法二

      // 包a
      package pkg_a;
      	class packet_a;
      	endclass
      	int a=1;
      endpackage
      // 包b
      package pkg_b;
      	class packet_b;
      	endclass
      	int b;
      endpackage
      
      module testbench_top();
          import pkg_a::a;	// 使用import导入指定包内的指定成员,如果模块内有同名成员编译会报错
          import pkg_b::b;
          initial begin
              $display("pkg_a::a is %0d", a);
              $display("pkg_b::b is %0d", b);
          end
      endmodule
      
    • 方法三

      // 包a
      package pkg_a;
      	class packet_a;
      	endclass
      	int a=1;
          int val = 10;
      endpackage
      // 包b
      package pkg_b;
      	class packet_b;
      	endclass
      	int b;
          int val = 11;
      endpackage
      
      module testbench_top();
          import pkg_a::*;	// 使用import导入指定包内的所有成员,模块里面找不到的时候才去包里找,所以模块内和包内存在同名成员时不冲突
          import pkg_b::*;
          initial begin
              $display("pkg_a::a is %0d", a);
              $display("pkg_b::b is %0d", b);
              $display("val is %0d", pkg_a::val);	// 两个包内有同名变量时,使用的时候需要指定具体哪个包
          end
      endmodule
      
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值