up_axi 一个将axi_lite接口转换成寄存器接口的模块

46 篇文章 8 订阅
25 篇文章 50 订阅

 

这是ADI提供的小模块。

1,加入了防止死机的判断,8个周期后没有完成操作自动相应ack总线。

2,会一直保持up_wreq,直到master端撤销写请求。这就需要检测第一个up_wreq的跳变作为实际的写,或者有严谨的握手信号处理。

 


`timescale 1ns/100ps

module up_axi (

  // reset and clocks

  up_rstn,
  up_clk,

  // axi4 interface

  up_axi_awvalid,
  up_axi_awaddr,
  up_axi_awready,
  up_axi_wvalid,
  up_axi_wdata,
  up_axi_wstrb,
  up_axi_wready,
  up_axi_bvalid,
  up_axi_bresp,
  up_axi_bready,
  up_axi_arvalid,
  up_axi_araddr,
  up_axi_arready,
  up_axi_rvalid,
  up_axi_rresp,
  up_axi_rdata,
  up_axi_rready,

  // pcore interface

  up_wreq,
  up_waddr,
  up_wdata,
  up_wack,
  
  up_rreq,
  up_raddr,
  up_rdata,
  up_rack
  
  );

  // parameters

  parameter   ADDRESS_WIDTH = 14;
  localparam  AW = ADDRESS_WIDTH - 1;

  // reset and clocks

  input           up_rstn;
  input           up_clk;

  // axi4 interface

  input           up_axi_awvalid;
  input   [31:0]  up_axi_awaddr;
  output          up_axi_awready;
  input           up_axi_wvalid;
  input   [31:0]  up_axi_wdata;
  input   [ 3:0]  up_axi_wstrb;
  output          up_axi_wready;
  output          up_axi_bvalid;
  output  [ 1:0]  up_axi_bresp;
  input           up_axi_bready;
  input           up_axi_arvalid;
  input   [31:0]  up_axi_araddr;
  output          up_axi_arready;
  output          up_axi_rvalid;
  output  [ 1:0]  up_axi_rresp;
  output  [31:0]  up_axi_rdata;
  input           up_axi_rready;

  // pcore interface

  output          up_wreq;
  output  [AW:0]  up_waddr;
  output  [31:0]  up_wdata;
  input           up_wack;
  output          up_rreq;
  output  [AW:0]  up_raddr;
  input   [31:0]  up_rdata;
  input           up_rack;

  // internal registers

  reg             up_axi_awready = 'd0;
  reg             up_axi_wready = 'd0;
  reg             up_axi_bvalid = 'd0;
  reg             up_wsel = 'd0;
  reg             up_wreq = 'd0;
  reg     [AW:0]  up_waddr = 'd0;
  reg     [31:0]  up_wdata = 'd0;
  reg     [ 2:0]  up_wcount = 'd0;
  reg             up_wack_int = 'd0;
  reg             up_wack_int_d = 'd0;
  reg             up_axi_arready = 'd0;
  reg             up_axi_rvalid = 'd0;
  reg     [31:0]  up_axi_rdata = 'd0;
  reg             up_rsel = 'd0;
  reg             up_rreq = 'd0;
  reg     [AW:0]  up_raddr = 'd0;
  reg     [ 3:0]  up_rcount = 'd0;
  reg             up_rack_int = 'd0;
  reg     [31:0]  up_rdata_int = 'd0;
  reg             up_rack_int_d = 'd0;
  reg     [31:0]  up_rdata_int_d = 'd0;

  // write channel interface
 
  assign up_axi_bresp = 2'd0;

  always @(negedge up_rstn or posedge up_clk) begin
    if (up_rstn == 1'b0) begin
      up_axi_awready <= 'd0;
      up_axi_wready <= 'd0;
      up_axi_bvalid <= 'd0;
    end else begin
      if (up_axi_awready == 1'b1) begin
        up_axi_awready <= 1'b0;
      end else if (up_wack_int == 1'b1) begin
        up_axi_awready <= 1'b1;
      end
      if (up_axi_wready == 1'b1) begin
        up_axi_wready <= 1'b0;
      end else if (up_wack_int == 1'b1) begin
        up_axi_wready <= 1'b1;
      end
      if ((up_axi_bready == 1'b1) && (up_axi_bvalid == 1'b1)) begin
        up_axi_bvalid <= 1'b0;
      end else if (up_wack_int_d == 1'b1) begin
        up_axi_bvalid <= 1'b1;
      end
    end
  end       

  always @(negedge up_rstn or posedge up_clk) begin
    if (up_rstn == 1'b0) begin
      up_wsel <= 'd0;
      up_wreq <= 'd0;
      up_waddr <= 'd0;
      up_wdata <= 'd0;
      up_wcount <= 'd0;
    end else begin
      if (up_wsel == 1'b1) begin
        if ((up_axi_bready == 1'b1) && (up_axi_bvalid == 1'b1)) begin up_wsel <= 1'b0; end
        up_wreq <= 1'b0;
        up_waddr <= up_waddr;
        up_wdata <= up_wdata;
        up_wcount <= up_wcount + 1'b1;
      end else begin
        up_wsel <= up_axi_awvalid & up_axi_wvalid;
        up_wreq <= up_axi_awvalid & up_axi_wvalid;
        up_waddr <= up_axi_awaddr[AW+2:2];
        up_wdata <= up_axi_wdata;
        up_wcount <= 3'd0;
      end
    end
  end

  always @(negedge up_rstn or posedge up_clk) begin
    if (up_rstn == 0) begin
      up_wack_int <= 'd0;
      up_wack_int_d <= 'd0;
    end else begin
      if ((up_wcount == 3'h7) && (up_wack == 1'b0)) begin
        up_wack_int <= 1'b1;
      end else if (up_wsel == 1'b1) begin
        up_wack_int <= up_wack;
      end
      up_wack_int_d <= up_wack_int;
    end
  end

  // read channel interface

  assign up_axi_rresp = 2'd0;

  always @(negedge up_rstn or posedge up_clk) begin
    if (up_rstn == 1'b0) begin
      up_axi_arready <= 'd0;
      up_axi_rvalid <= 'd0;
      up_axi_rdata <= 'd0;
    end else begin
      if (up_axi_arready == 1'b1) begin
        up_axi_arready <= 1'b0;
      end else if (up_rack_int == 1'b1) begin
        up_axi_arready <= 1'b1;
      end
      if ((up_axi_rready == 1'b1) && (up_axi_rvalid == 1'b1)) begin
        up_axi_rvalid <= 1'b0;
        up_axi_rdata <= 32'd0;
      end else if (up_rack_int_d == 1'b1) begin
        up_axi_rvalid <= 1'b1;
        up_axi_rdata <= up_rdata_int_d;
      end
    end
  end       

  always @(negedge up_rstn or posedge up_clk) begin
    if (up_rstn == 1'b0) begin
      up_rsel <= 'd0;
      up_rreq <= 'd0;
      up_raddr <= 'd0;
      up_rcount <= 'd0;
    end else begin
      if (up_rsel == 1'b1) begin
        if ((up_axi_rready == 1'b1) && (up_axi_rvalid == 1'b1)) begin
          up_rsel <= 1'b0;
        end
        up_rreq <= 1'b0;
        up_raddr <= up_raddr;
      end else begin
        up_rsel <= up_axi_arvalid;
        up_rreq <= up_axi_arvalid;
        up_raddr <= up_axi_araddr[AW+2:2];
      end
      if (up_rack_int == 1'b1) begin
        up_rcount <= 4'd0;
      end else if (up_rcount[3] == 1'b1) begin
        up_rcount <= up_rcount + 1'b1;
      end else if (up_rreq == 1'b1) begin
        up_rcount <= 4'd8;
      end
    end
  end

  always @(negedge up_rstn or posedge up_clk) begin
    if (up_rstn == 0) begin
      up_rack_int <= 'd0;
      up_rdata_int <= 'd0;
      up_rack_int_d <= 'd0;
      up_rdata_int_d <= 'd0;
    end else begin
      if ((up_rcount == 4'hf) && (up_rack == 1'b0)) begin
        up_rack_int <= 1'b1;
        up_rdata_int <= {2{16'hdead}};
      end else begin
        up_rack_int <= up_rack;
        up_rdata_int <= up_rdata;
      end
      up_rack_int_d <= up_rack_int;
      up_rdata_int_d <= up_rdata_int;
    end
  end

endmodule

// ***************************************************************************
// ***************************************************************************
以下是一个简单的Xilinx AXI4-lite接口控制SPI接口的控制器的示例代码: ```verilog module spi_controller( input wire aclk, //AXI4-lite时钟 input wire aresetn, //AXI4-lite复位信号,低电平有效 input wire sclk, //SPI时钟 output wire cs_n, //SPI片选信号 output wire mosi, //SPI主机数据输出 input wire miso, //SPI从机数据输入 input wire [3:0] addr, //AXI4-lite地址 input wire [31:0] wdata, //AXI4-lite写入数据 output wire [31:0] rdata, //AXI4-lite读出数据 input wire write_enable, //AXI4-lite写使能 input wire read_enable //AXI4-lite读使能 ); reg [7:0] tx_data; //SPI发送数据 reg [7:0] rx_data; //SPI接收数据 reg [31:0] axi_reg; //AXI4-lite寄存器 assign cs_n = axi_reg[0]; //将AXI4-lite的第0位寄存器赋值给SPI的片选信号 assign mosi = tx_data[7]; //将发送数据的最高位赋值给SPI的主机数据输出 assign rdata = axi_reg; //将AXI4-lite寄存器赋值给读取数据输出 always@(negedge aresetn or posedge aclk) begin if (!aresetn) begin axi_reg <= 32'h00000000; //AXI4-lite寄存器复位为0 tx_data <= 8'h00; //发送数据清零 rx_data <= 8'h00; //接收数据清零 end else begin if (write_enable) begin //AXI4-lite写使能 case(addr) 4'h0: axi_reg[7:0] <= wdata[7:0]; //将AXI4-lite写入数据的最低8位赋值给SPI的片选信号 4'h4: begin //将AXI4-lite写入数据的第8位到第15位赋值给发送数据 tx_data[7:0] <= wdata[15:8]; axi_reg[31:8] <= 24'h000000; //将AXI4-lite的第1到第23位清零 end 4'h8: begin //将AXI4-lite写入数据的第16位到第23位赋值给发送数据 tx_data[7:0] <= wdata[23:16]; axi_reg[31:8] <= 24'h000000; //将AXI4-lite的第1到第23位清零 end default: axi_reg <= axi_reg; //如果没有匹配到地址,则AXI4-lite寄存器不变 endcase end else if (read_enable) begin //AXI4-lite读使能 case(addr) 4'h0: axi_reg <= axi_reg; //将SPI片选信号赋值给AXI4-lite寄存器的最低8位 4'h4, 4'h8: axi_reg <= {24'h000000, rx_data}; //将接收数据赋值给AXI4-lite寄存器的第8位到第31位 default: axi_reg <= axi_reg; //如果没有匹配到地址,则AXI4-lite寄存器不变 endcase end end end always@(negedge aresetn or posedge sclk) begin //SPI控制 if (!aresetn) begin tx_data <= 8'h00; //发送数据清零 rx_data <= 8'h00; //接收数据清零 end else begin if (cs_n == 1'b0) begin //SPI片选信号有效 rx_data[7:0] <= miso; //将SPI从机数据输入赋值给接收数据 tx_data[6:0] <= tx_data[7:1]; //发送数据左移一位 tx_data[7] <= axi_reg[0]; //将AXI4-lite的第0位寄存器赋值给发送数据的最高位 end end end endmodule ``` 该控制器包含一个AXI4-lite接口一个SPI接口AXI4-lite接口用于控制SPI接口,并且可以通过读取和写入AXI4-lite寄存器来控制SPI片选信号和发送数据。SPI接口用于发送和接收数据。在SPI片选信号有效时,发送数据会左移一位,同时将AXI4-lite的第0位寄存器赋值给发送数据的最高位,并将SPI从机数据输入赋值给接收数据。
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值