SPI接口的FPGA实现(一)——SPI接口的相关基础知识

本文介绍了SPI的基础知识,包括SPI接口的3线型和4线型,重点讨论了4线型SPI的信号传输方向。内容涵盖SPI的时序图,详细解释了CPOL和CPHA参数定义的4种传输模式,并以DAC81416为例,分析了时序图。下文将结合具体datasheet深入探讨。
摘要由CSDN通过智能技术生成

SPI系列文章主要介绍SPI接口的一些基础知识,并用DAC芯片81416的配置为例来具体说明SPI接口的具体FPGA实现。

一、SPI的基础

SPI的全称是Serial Peripheral Interface,直译过来就是串行外围接口。一般情况下,FPGA连接各种DAC和ADC,都是用的SPI接口。

SPI分为3线型和4线型。用得最多的是4线型,4线型的信号包括SCLK(同步时钟),MOSI(Master输出Slave输入),MISO(Master输入Slave输出)、SS(Slave Select,一般也叫做CS,Chip Select,片选信号),信号传输方向如图1所示。3线型就是把MISO和MOSI合并成一根线MISO/MOSI,合并后的这根线信号可以双向传输信号,Mater和Slave分时驱动这根线,一样可以通信。因此,可以看出4线型的SPI是全双工的,3线型的SPI是半双工的。

因为3线型和4线型在原理上并没有区别,所以本文仅介绍4线型,对于3线型注意在写Verilog代码时声明MISO/MOSI为inout类型信号,并且保证在FPGA不驱动它的时间它赋一个高阻值就行了。

下面两图是一般情况下我们会使用到的SPI连接方式。此外,还有一种菊花链的一对多连接方式,不太常用,有兴趣的读者可以阅读另一个博主的文章,点这里

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值