Modelsim:从入门到熟悉的踩坑和问题总结


前言

一些modelsim的使用问题,这些问题是学习和熟悉Modelsim环境的重大障碍,有时在开发过程中,处理这些问题会浪费大量时间,同时提升开发周期。故将这些问题补充与本文中,方便查找。很多也是我个人的踩坑记录。


一、窗口信号路径隐藏

可以通过以下步骤设置,默认是没有隐藏的:

  • 点击Tools — Window preference。
  • 将display signal path的数值改写成1,即可完成设置。
    在这里插入图片描述

另外一种方法:点击该页面左下角的灰色原点即可隐藏路径名。但该法不能实现一劳永逸的目的,每次打开想隐藏都需要点下。
在这里插入图片描述

二、界面说明

在这里插入图片描述
最好每次仿真启动后,都重置下,不然起始时间段可能没有信号显示。

在这里插入图片描述

三、波形窗口的快捷键

关于鼠标:

  • Ctrl + 鼠标左键 + 从左上向右下拖拉:放大(选中区域)
  • Ctrl + 鼠标左键 + 从左下向右上拖拉:缩小(基本全局)
  • Ctrl + 鼠标左键 + 从右下向左上拖拉:缩放至满屏
  • 鼠标左键拖拉:可直接移动最近的光标
  • Ctrl + 鼠标左键 + 在滚动条上点击:滚动至顶部或底部(竖直滚动条上)、左端或右端(水平滚动条上)

关于键盘:

  • C/c:当前光标居中并放大
  • I/i/+:(Zoom in)放大
  • O/o/-:(Zoom out)缩小
  • F/f:(Zoom full)缩放至满屏
  • L/l:(Zoom last)缩放为上次
  • R/r:(Zoom range)缩放范围
  • 方向键:上下左右滚动(以行为单位)
  • 翻页键:上下滚动(以页为单位)
  • Ctrl + 左右方向键:左右滚动(以页为单位)
  • Tab:向前(右)查找下一个跳变沿(对应着选中的信号)
  • Shift + Tab:向后(左)查找下一个跳变沿
  • Ctrl + f:打开查找对话框

四、主窗口和源代码窗口的快捷键

很多快捷键,也可以使用Windows中的快捷键操作,就不列出来了,一些列出一些可能用到的:

  • Ctrl + f:查找
  • F3:查找下一个
  • F9:运行仿真
  • F10:继续执行仿真
  • F11:单步执行(进入)
  • F12:单步执行(跳过)

五、波形窗口颜色设置

Modelsim波形默认在黑色背景中显示绿色波形。看起来很吃力,为且制作文档时的,这种颜色打印效果并不好。为此,参考网上教程设置了下,结果非常的好。

  • 点击【Tools】->【Edit Preferences】菜单打开配置窗口。
    在这里插入图片描述
    在左侧的Window List中选中Wave Windows选项,然后在中间的Wave Windows Color Scheme中选择一个需要修改颜色的位置,然后点击右侧的调色板中的对应颜色即可。
    在这里插入图片描述
    设置波形背景窗口为白色:waveBackground -> 白色
    设置左侧信号名称背景窗口为白色:Background -> 白色
    设置左侧信号名称文字颜色为黑色:foreground -> 黑色
    设置时间指示颜色为黑色:timeColor–>黑色
    设置向量(多位宽信号)波形颜色为黑色:vectorColor -> 黑色
    设置所有逻辑波形(单bit信号)颜色为黑色:LOGIC_0和LOGIC_0 ->黑色
    设置波形中显示的文字颜色为黑色:textColor -> 黑色
    设置竖格颜色为灰色(GRAY70):gridColoR –>灰色
    设置左侧信号栏信号被选中时的框颜色:selectBackground –>红色
    设置左侧信号栏信号被选中时的字体颜色:selectForeground –>黑色
    设置移动轴的颜色:cursorColor –>红色

下图为本人配置好的波形窗口,看着还是很舒服的:
在这里插入图片描述

六、设置显示时间单位

在波形窗口的时间单位可以进行更改:

  • 点击tools——>window preference。
  • 点击grid & timeline选项卡,在Time unirs选项卡处选择。
  • Time units可选的有:fs、ps、ns、us、ms、sec、min和hr。

在这里插入图片描述

七、信号与信号值查找

关于信号查找:(适合仿真信号很多时用)

  • 先在左侧信号栏处选中一个信号
  • 按下CTRL + F,即可输入待找的信号

在这里插入图片描述

关于信号的查找:

  • 点击某信号,可以选中
  • 在search框中输入待找信号值,注意和显示进制相对应
  • 按下右边的查找键,可找到该信号的搜索值

在这里插入图片描述

八、Modelsim打开错误

出现错误:Unable to checkout a viewer license necessary for use of the ModelSim graphical user interface.Vsim is closing。关闭后闪退。

出错原因:安装Modelsim后,复制了patch,且生成了LICENSE.TXT文件,但是没有配置环境变量。

解决:

  • 把MentorKG.exe、mgls.dll和patch_dll.bat(或patch64_dll.bat)一起拷贝到modelsim安装目录的win32(或win64)下。
  • 打开环境变量,在系统环境变量一栏处,设置环境变量MGLS_LICENSE_FILE,变量值为license放置的目录。
  • 右键快捷方式,以管理员身份运行,重新启动后,就没有这个错误了。
    在这里插入图片描述

九、自动仿真环境搭建

使用bat批量命令处理文件,可快速启动仿真软件,此处对仿真环境进行配置。

% run_simulation.bat文件 %
@echo off
@cls
title FPGA Auto Simulation batch script

echo ModelSim simulation
echo.
echo Press '1' to start simulation
echo.

:input
set INPUT=
set /P INPUT=Type test number: %=%
if "%INPUT%"=="1" goto run1
goto end

:run1
@cls
echo Start Simulation;
echo.
echo.
cd testbench
vsim -do "do compile.do"
goto clean_workspace

:clean_workspace

rmdir /S /Q work
del vsim.wlf
del transcript.

:end
# compile.do文件

vlib work
vmap work work

#library 之前添加库时已经编译,可不用再编译
#vlog  -work work ../../library/artix7/*.v

#IP  相应的IP需要指定
#vlog  -work work ../../../source_code/ROM_IP/rom_controller.v

#SourceCode 对源码编译
vlog  -work work ../design/vlg_design.v

#Testbench 对测试脚本编译
vlog  -work work testbench_top.v 

#把testbeach.top添加到work中
vsim -voptargs=+acc work.testbench_top

#Add signal into wave window
do wave.do

#run -all

一些测试:
在这里插入图片描述
在这里插入图片描述
点击run -all开始仿真,可以把脚本中相应命令去掉,也能直接进入仿真了。
在这里插入图片描述


十、参考文献

十一、时间记录

  • 2020年08月02日:窗口信号路径隐藏、界面说明、波形窗口的快捷键、主窗口和源代码窗口的快捷键。
  • 2020年08月03日:波形窗口颜色设置、设置显示时间单位
  • 2020年08月06日:信号与信号值查找
  • 2020年09月05日:解决Modelsim打开错误的问题
  • 2020年09月25日:自动仿真环境搭建
  • 25
    点赞
  • 144
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

学不懂啊阿田

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值