牛客刷题<15>优先编码器

题目:优先编码器Ⅰ_牛客题霸_牛客网

分析:题目不难

解法一:I和EI只能作为wire型变量

`timescale 1ns/1ns

module encoder_83(
   input      [7:0]       I   ,
   input                  EI  ,
   
   output wire [2:0]      Y   ,
   output wire            GS  ,
   output wire            EO    
);
    //reg [7:0] I_n;
    //reg       EI_n;
    reg [2:0] Y_n;
    reg       GS_n;
    reg       EO_n;
    always@(EI or I or Y_n or GS_n or EO_n)begin
        if(!EI)begin
            //I = 8'bxxxxxxxx;
            Y_n = 3'b000;
            GS_n = 0;
            EO_n = 0;
        end
        else begin
            //EI = 1;
            if(I==8'b00000000)begin
                Y_n = 3'b000;
                GS_n = 0;
                EO_n = 1;
            end
            else begin
                GS_n = 1;
                EO_n = 0;
        casex(I)
            //8'bxxxxxxxx: Y = 3'b000;
            //8'b00000000: Y = 3'b000;
            8'b1xxxxxxx: Y_n = 3'b111;
            8'b01xxxxxx: Y_n = 3'b110;
            8'b001xxxxx: Y_n = 3'b101;
            8'b0001xxxx: Y_n = 3'b100;
            8'b00001xxx: Y_n = 3'b011;
            8'b000001xx: Y_n = 3'b010;
            8'b0000001x: Y_n = 3'b001;
            8'b00000001: Y_n = 3'b000;
            default: Y_n = 3'b000;
        endcase
            end
        end
    end
    //assign I = I_n;
    //assign EI = EI_n;
    assign Y = Y_n;
    assign GS = GS_n;
    assign EO = EO_n;
endmodule               

解法二:

`timescale 1ns/1ns

module encoder_83(
   input      [7:0]       I   ,
   input                  EI  ,
   
   output wire [2:0]      Y   ,
   output wire            GS  ,
   output wire            EO    
);
    //reg [7:0] I_n;
    //reg       EI_n;
    reg [2:0] Y_n;
    reg       GS_n;
    reg       EO_n;
    always@(EI or I or Y_n or GS_n or EO_n)begin
        if(!EI)begin
            Y_n = 3'b000;
            GS_n = 0;
            EO_n = 0;
        end
        else begin
            if(I==8'b00000000)begin
                Y_n = 3'b000;
                GS_n = 0;
                EO_n = 1;
            end
            else begin
        casex(I)
            8'b1xxxxxxx: begin
                Y_n = 3'b111;
                GS_n = 1;
                EO_n = 0;
            end
            8'b01xxxxxx: begin 
                Y_n = 3'b110;
                GS_n = 1;
                EO_n = 0;
            end
            8'b001xxxxx: begin
                Y_n = 3'b101;
                GS_n = 1;
                EO_n = 0;
            end
            8'b0001xxxx: begin
                Y_n = 3'b100;
                GS_n = 1;
                EO_n = 0;
            end
            8'b00001xxx: begin
                Y_n = 3'b011;
                GS_n = 1;
                EO_n = 0;
            end
            8'b000001xx: begin
                Y_n = 3'b010;
                GS_n = 1;
                EO_n = 0;
            end
            8'b0000001x: begin
                Y_n = 3'b001;
                GS_n = 1;
                EO_n = 0;
            end
            8'b00000001: begin
                Y_n = 3'b000;
                GS_n = 1;
                EO_n = 0;
            end
            default: begin
                Y_n = 3'b000;
                GS_n = 0;
                EO_n = 1;
            end
        endcase
            end
        end
    end
    //assign I = I_n;
    //assign EI = EI_n;
    assign Y = Y_n;
    assign GS = GS_n;
    assign EO = EO_n;
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值