Verilog 130例 -->Problem 2

MODULE:

`timescale 1ns / 1ps
module count4(out,reset,clk);
    output [3:0] out;
    input reset;
    input clk;
    reg [3:0] out;
    
    initial
        begin
            out=4'd0;
        end
    
    always @ (posedge clk)
        begin
            if( reset==1'd0 )
                out=4'd0;
            else
                out=out+4'd1;
        end
        
endmodule


FIXTURE:

module test_fixture;

    // Inputs
    reg reset;
    reg clk;

    // Outputs
    wire [3:0] out;

    // Instantiate the Unit Under Test (UUT)
    count4 uut (
        .out(out),
        .reset(reset),
        .clk(clk)
    );

    initial
        begin
        // Initialize Inputs
        reset = 0;
        clk = 0;

        // Wait 100 ns for global reset to finish
        #120 reset =1'd1;
        
        // Add stimulus here

        end
    
    always #50 clk=~clk;
      
endmodule


KEY POINT:

1. initial: how to use.

2. always:  delay # using.


SYMBOL:

WAVEFORM:


评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值