UVM:: drop all objection forcelly

在VIP中一般有如下代码
phase.raise_objection(this);  
get_next_item(req);
drive_command(req);
seq_item_port.item_done();
phase.drop_objection(this);

但是有时候环境中有interrupt,使得vip完成不了一次握手就要推出,这样就需要在外部强制drop objection
方法如下:

virtual task run_phase(uvm_phase phase);
  uvm_objection objection;
  uvm_object    object_list[$];
  TIMEOUT_TIMER_EXPIRED = 0;
  #(this.SYS_GLOBAL_TIMEOUT);
 
  // Fetching the objection from current phase
  objection = phase.get_objection();
 
  // Collecting all the objects which doesn't drop the objection 
  objection.get_objectors(object_list);
 
  // Dropping the objection forcefully
  foreach(object_list[i]) begin
    while(objection.get_objection_count(object_list[i]) != 0) begin
      objection.drop_objection(object_list[i]);
    end
  end
  TIMEOUT_TIMER_EXPIRED = 1;
endtask: run_phase
  • 0
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值