UVM之raise/drop objection

UVM中,component的task phase是消耗仿真时间的,各个components需要在不同层次的task phase中同步信息。

 

UVM中通过objection mechanism来控制phase的执行,通过raise or drop objection来决定phase中事件的开始和停止。

 

当程序根据phase执行顺序,进入到某一个phase中时,它会收集此phase提起的所有的objection(每个component都可以raise objection)

 

当这个phase中所有的objection都被撤销(drop)之后,那么这个phase就执行结束。

 

components或sequence将在验证行为的开始 raise phase objection,在验证行为完成后drop phase objection。一旦phase里所有的objection都被dropped,该phase就结束了。

 

 

考虑一个例子,agent完成读写操作。通常可以在sequence 或者test中添加raise/drop objection。

https://zhuanlan.zhihu.com/p/106722651

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值