lattice diamond中使用时钟OSCH源demo

lattice diamond中使用时钟源demo
我一直以为使用时钟源 OSCH 需要配置ip核什么的, 实际上不需要配置.
直接在代码里写 OSCH OSCH_inst(…) 即可. 默认就引用.
参考代码如下. 无需任何额外的配置.

`timescale 10ns / 1ps  
 
module inter_clk
(  
	output[1:0]	  led 
);
 
 localparam  TIME1MHZ_DIV     = 38000_000; 
 
 
 wire sys_clk; 
defparam OSCH_inst.NOM_FREQ = "38.00";
OSCH OSCH_inst( 
 .STDBY(1'b0), // 0=Enabled, 1=Disabled // also Disabled with Bandgap=OFF
 .OSC  (sys_clk),
 .SEDSTDBY()
 );  
	 
	 
reg[31:0]	led_blink_count;
 always @(posedge	sys_clk)
 begin
	if(led_blink_count == TIME1MHZ_DIV)
		led_blink_count <= 0;
	else
		led_blink_count <= led_blink_count+ 1'd1;
end

reg	led_temp;
always @(posedge	sys_clk)
begin
	if(led_blink_count == TIME1MHZ_DIV)
		led_temp <= ~led_temp;
	else
		led_temp <= led_temp;
end


assign	   led[0] = led_temp;
assign	   led[1] =  ~led_temp;

endmodule
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值