verilog中慎用对genvar变量的位操作

本文讨论了一段Verilog代码在仿真时遇到的奇怪现象,代码原本应生成特定数据pattern,但实际结果错误。作者怀疑是仿真工具VCS 2017.12-sp2的问题,通过将genvar赋值给localparam并对其执行位操作解决了此问题,但综合影响未知。代码中其他类似结构并未出现同样问题。
摘要由CSDN通过智能技术生成

近日在对一段代码仿真时发现一个奇怪的现象。

有这样一种风格的代码

genvar hf;
generate
for(hf=0;hf<8;hf=hf+1) begin
	always@(*)
		rd_addr[hf] = {
   (
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

poena

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值