verilog/systemverilog的随机数生成问题

Verilog/SystemVerilog 里面的随机函数

Verilog系统自带一些随机数发生函数。最常用的有random。语法如下:

$random(seed);

指定概率分布

如果希望生成的随机数具有一定的概率分布,还有一些系统函数可以选用。
简单的语法如下:

$dist_uniform (seed, start, end) ;

$dist_normal (seed, mean, standard_deviation) ;

$dist_exponential (seed, mean) ;

$dist_poisson (seed, mean) ;

$dist_chi_square (seed, degree_of_freedom) ;

$dist_t (seed, degree_of_freedom) ;

$dist_erlang (seed, k_stage, mean) ;

生成一定范围的随机数实例

   parameter    MAX_NUM = 512;
   parameter    MIN_NUM = 256;
   reg [15:0]   num_range1, num_range2, num_range3 ;
   always@(posedge clk) begin
      //产生的随机数范围为 -511 ~ 511, ±(MAX_NUM-1)
      num_range1 <= $random() % MAX_NUM;
      //产生的随机数范围为 0 ~ 511, (0 ~ MAX_NUM-1)
      num_range2 <= {$random()} % MAX_NUM;
      //产生的随机数范围为 MIN_NUM ~ MAX_NUM,包含边界
      num_range3 <= MIN_NUM + {$random()} % (MAX_NUM-MIN_NUM+1);
   end

systemverilog里的随机数生成

obj.randomize & std::randomize

  1. obj.randomize():是类的随机化方法,用于随机化类成员变量。
  2. std::randomize():是作用域内的随机化方法,可以用于随机化函数内的变量。

例如:

program automatic test;
    class pkt;
        logic [15:0] pkt_size;

        function new();
            pkt_size = 100;
        endfunction: new

        function logic [7:0] get_num();
            logic [7:0] scope_var;

            // When this function is called, randomize class
            // member var 'pkt_size' using the class's
            // in-built randomize method
            randomize(pkt_size);
            // Using SV std lib's scope randomize this  
            // function's local'scope_var'
            std::randomize(scope_var);

            $display("pkt.get_num: pkt_size %0d scope_var %0d",
                pkt_size, scope_var);
        endfunction: get_num
    endclass: pkt

    initial begin
        pkt p;

        p = new();
        p.get_num();
    end
endprogram

systemverilog 里面的随机数概率控制

sv通过约束(constraint)来实现概率分布控制。例如:

randomize(pkt_size) with { 
    pkt_size inside {[10:50]};
};
// Using SV std lib's scope randomize
std::randomize(scope_var) with {
    scope_var inside {10, 20, 30};
};

如何控制种子

  1. 直接法:
    用设置种子的函数/方法。
p.srandom(seed)
  1. 间接法:
    在仿真工具的命令行里面设置
# Synopsys-VCS
% ./simv +ntb_random_seed=10
# Mentor-Questa
% vsim -c test -sv_seed 10 -do "run -all"

参考文献:

https://www.systemverilog.io/randomization#id-1c
https://www.runoob.com/w3cnote/verilog2-random.html

  • 0
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

poena

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值