Chisel 入门

本文介绍了Chisel语言的基本用法,通过创建组件和赋值语句来生成硬件逻辑。Chisel运行时会收集硬件组件并进行连接。项目结构、工具流程和测试方法也得到了详细阐述,包括PeekPokeTester的使用,它允许设置输入值,读取输出值,并进行一步仿真验证。
摘要由CSDN通过智能技术生成

each creation of a component adds hardware;
each assignment statement generates gates and/or flip flops.

When Chisel executes your code it runs as a Scala program, and by executing the Chisel statements, it collects the hardware components and connects those nodes.

Project Tree

Source tree of a typical chisel project:

project (contains build.sbt, Makefile, README, LICENCE)
	|===src(contains all sourcecode)
			|==|main(hardware source codes)
			|====|scala(Chisel inherits from Scala)
			|======|package(Scala inherits from Java's packages)
			|=========|subpackage
			|==|test(testers)
			|====|scala
			|======|package
	|==target(contains the class files and other generated files)
	|==generated(for generated Verilog files)

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值