IP核在modelsim里面的仿真

#modelsim与Quartus版本介绍:

笔者所用modelsim与Quartus是从Altera官网下载的ModelSim-Altera 10.1d (Quartus II 13.1)版本,Quartus调用modelsim仿真时,版本匹配。
但笔者更喜欢选择分开使用这两个软件。即在modelsim里面重新建工程,写测试文件,添加Quartus源文件来仿真Quartua工程。基于这个原因,本人的问题解决办法不同于网络上通用解决方案,故写出来提醒自己,也方便大家收录。

#案例一:

新建一个modelsim工程后,将所需要的源文件(包括IP核文件)添加至工程,
单击Compile——Compile_All,
这里写图片描述

发现编译无误
这里写图片描述

单击Simulate——Start_simulation,进行工程载入
这里写图片描述

出现找不到IP核单元的错误提示,注意此时的IP核是pll锁相环
这里写图片描述

错误解决办法:
选择Libraries——Add,添加库文件
库文件所在位置:modelsim-ase——aletra——Verilog(根据自己使用的语言去选择)——altera_mf || cycloneive(根据自己使用的板子去选择)
这里写图片描述

这里写图片描述

#案例二:
##基本步骤同案例一:

出现找不到IP核单元的错误提示,注意此时的IP核是PLL锁相环,片内RAM,以及大Boss:DDR
这里写图片描述

添加库文件后,PLL锁相环,片内RAM错误提示均已消失,但DDR出现新的错误提示
这里写图片描述

错误原因解释:
接口模块外部的时序环境较为复杂,牵扯到同步时钟域与异步时钟域,对于初学者,建议先不要在modelsim中对其进行仿真,直接用板子验证其正确与否。需要具备熟悉多个时钟域,各种输入的输出信号延时参数等一些知识的能力时,再选择在modelsim进行仿真。

##同步、异步时钟域:
同步时钟域,电路中由同一个时钟信号控制的区域。、如果两个时钟域时钟的频率和频率、相位和相位之间是相关的,我们就称这两个时钟域是同步时钟域。
异步时钟域容易出现的问题:
例如:从A时钟域出来的信号A直接进入B时钟域,从图可以看出,如果A信号在B时钟的上升沿发生变化,便会出现不定态。

  • 13
    点赞
  • 44
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
### 回答1: 要在ModelSim SE中仿真IP核,需要按照以下步骤进行操作: 1. 打开ModelSim SE软件,创建一个新的工程。 2. 在工程中添加需要仿真IP核文件。 3. 在工程中添加仿真测试文件,可以是Verilog或VHDL文件。 4. 编写仿真测试文件,包括对IP核的实例化和测试向量的生成。 5. 在ModelSim SE中运行仿真,查看仿真结果。 6. 如果需要调试IP核,可以在仿真过程中添加波形窗口,查看信号波形。 7. 通过仿真结果分析IP核的性能和正确性。 需要注意的是,不同的IP核可能有不同的仿真方法和测试要求,具体操作步骤可能会有所不同。因此,在使用ModelSim SE仿真IP核时,需要仔细阅读IP核的文档和仿真指南,按照要求进行操作。 ### 回答2: Modelsims是一个非常强大的工具,可以用于仿真IP核。下面,我将介绍如何使用Modelsims来仿真IP核。 1. 首先,你需要有一个IP核作为你的目标。你可以从各个IP核库中找到一个合适的IP核。然后,你需要导入该IP核到你的工程中。导入的过程因版本不同会有所不同,但是通常都是通过adding source来实现的。 2. 接下来,你需要编写一个测试文件,用来模拟IP核的输入和观察IP核的输出。你可以使用Verilog或VHDL来编写测试文件,具体来说,测试文件应该包含以下步骤: a. 初始化输入信号 b. 将输入信号传递给IP核 c. 等待IP核的输出 d. 将IP核的输出与预期的输出进行比较 3. 在Modelsims中,你需要创建一个仿真器来运行测试文件。在创建仿真器的过程中,你需要指定仿真文件和IP核。这里有一个例子展示如何创建仿真器: vsim -L work -L altera_ver tb_top 其中work和altera_ver是IP核库的路径,tb_top是测试文件的名称。 4. 一旦仿真器创建完成,你可以开始运行仿真测试了。通过运行仿真测试,你可以掌握IP核的输入和输出之间的关系,并且可以验证IP核的正确性。 5. 最后,你可以使用Modelsims的波形查看器来查看仿真测试的结果。波形查看器可以帮助你更清晰地了解测试过程中IP核的输入和输出信号。 以上是如何使用Modelsims来仿真IP核的基本过程。当然,每个IP核的具体测试过程都可能有所不同,但这里介绍的方法可以应用于大多数IP核仿真测试。 ### 回答3: 在进行IP核仿真时,我们通常会使用EDA工具中的ModelSim仿真软件进行验证。进行仿真前需要进行如下准备: 1. IP核设计代码:IP核设计代码可以是Verilog或VHDL的文件。确保代码无误,并且符合设计要求。 2. Testbench文件:Testbench文件定义了IP核需要接收的输入数据以及对应的时间点。可以使用SystemVerilog或VHDL代码编写。 3. Constraint文件:Constraint文件用于定义时序限制和约束。 接下来是具体的IP核仿真步骤: 1. 打开ModelSim软件,建立一个新的工程。 2. 将IP核设计代码以及Testbench文件添加到工程中。 3. 仿真工具需要了解在模拟过程中IP核的输入和输出信号。因此,在工程设置中将IP核的输入输出端口连接。 4. 在仿真界面打开波形窗口,以便能够查看IP核仿真的结果。 5. 现在可以进行仿真了,仿真时间可以在Testbench文件中进行指定。 6. 在仿真过程中,可以查看仿真波形,检查输入输出信号是否与期望值一致,并且根据仿真结果进行调试和优化。 7. 仿真完成后,分析仿真结果并进行仿真报告撰写。 通过以上步骤可以完成IP核仿真过程。不过在实际过程中,因为IP核的种类和复杂度不同,一些详细的步骤可能需要根据具体情况进行调整和修改。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值