QUARTUS PRIME 调用 MODELSIM仿真的步骤

QUARTUS PRIME 调用 MODELSIM仿真的步骤

用了一天的时间解决的仿真问题,分享给大家,节约点时间少走点弯路吧,用的是ALTERA的官网上的免费版本,大家一起沟通交流吧。
1.创建时选取modelsim altera
在这里插入图片描述
2.点击编译和运行
在这里插入图片描述

3.点击tools–options–EDA TOOL options 将modelsim altera 的文件执行路径添入(路径一般是modelsim_ase\win32aloem格式)
在这里插入图片描述
4.点击processing–start–start test bench template writer来生成test bench文件,文件的路径在下方 显示为(vt)格式
在这里插入图片描述
在这里插入图片描述
5.打开文件所属位置的
vt文件进行编译,文件如果找不到点击右下方all files选项
在这里插入图片描述
在这里插入图片描述
6.将文中两处圆圈注释掉,否则只进行一个周期的显示,将代码进行初始化、赋值等编辑。
在这里插入图片描述

7.注意 1 为顶层文件名 2为test bench 例化名
在这里插入图片描述

8.点击assignments-- settings–simulation 按照步骤选择工具1.modelsim altera 2.verilog hdl 语言 3.运行时间 4选择test bench

在这里插入图片描述

9.将compiles test bench 勾选后点击后面的test benchs,然后选择NEW,

在这里插入图片描述
在这里插入图片描述
10.将第七步中的两个实例名添加进去,然后点击后面的省略号添加test bench 文件。点击ADD添加,然后一直OK、OK 、apply即可。

在这里插入图片描述
在这里插入图片描述
11.选择tools–run simulation tool – rtl simulation 进行仿真。
在这里插入图片描述

12.出现仿真波形,可以点击stop停止,也可以在transcript中手动输入运行时间。
在这里插入图片描述
在这里插入图片描述

这是我的关于Verilog的第一篇文章,纪念一下,后面学会了别的再来,大家都少走点弯路 哈哈

评论 7
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

孔小贱

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值