AXI协议详解

AXI协议详解

Created: July 11
Tags: ARM

amba_axi_protocol_spec.pdf

AMBA_axi.pdf

AXI基础简介

AXI总共分为5个通道,写地址,写数据,写应答,读地址,读数据(读应答在读数据中体现)

特点:独立的地址数据通道,通道传输为单方向。可同时做数据读写。

有三种传输模式,地址固定(fixed),地址等量增加(incr),地址等量增加循环(wrap),

每个AXI组件使用一个时钟信号ACLK,所有输入信号在ACLK上升沿采样,所有输出信号必须在ACLK上升沿后发生。

AXI使用一个低电平有效的复位信号ARESETn,复位信号是异步复位,同步释放

  • 什么是burst传输的意思?

    突发传输。连续传输

  • FIXED模式下传输首地址后就可以不停传输数据吗?

    是的,在配合每次传输的byte数,就可以确定下一个传输的地址。

  • 突发传输不能跨4KB边界?

    因为AXI4协议规定主机上寄存器块的最小分块单元为4K Byte,每个从机的开始映射地址都是4KB的边界。这也影响了AXI4的一个读写规则,那就是一个burst传输不能跨越4KB的界限,否则就会引入将数据错误写入其他从机的风险。注意这个4KB的界限指的是MASTER的地址界限,例如一个burst中不能越过0xXXXX_X000的地址位。FFF=4095,

    就是1024个寄存器,*4byte =4KB。

  • 非对齐传输。

    非对其传输指当传输的目标地址(byte)与寄存器的边界(word对齐)未对齐时,可以使用非对齐传输,例如一个传输在0x3地址开始,而不是0x0或者0x4,非对其传输只会影响一个burst传输中的第一笔数据,可采用WSTRB信号来表示第一个data中的哪几个byte是有效的,其余的数据还是正常的burst传输。

  • 复位期间对接口有如下要求:valid(表示地址/数据/应答输出有效信号)驱动到低电平

    ①主机ARVALID,AWVALID,WVALID为低电平;

    ② 从机接口必须驱动RVALID,BVALID为低电平;

    ③ 所有其他信号可以被驱动到任意值。

    在复位后,主机可以在时钟上升沿驱动ARVALID,AWVALID,WVALID为高电平

• 读取地址,其信号名称以AR 开头。

• 读取信号名称以 R 开头的数据。
• 写入地址,信号名称以AW 开头。
• 写入信号名称以 W 开头的数据。
• 写入响应,其信号名称以 B 开头。

Write/Read address signal (功能信号都相似,可放在一起讨论)

awid/arid写地址ID.
awaddr/araddr写地址,给出一次突发传输的写地址
awready/aready从对主的写地址做出有效应答,表示已准备好可接收数据
awvalid/arvalid有效信号,表示当前传输的地址控制信号有效
awsize/arzize该信号指示每次Burst传输的byte大小。就是数据位宽,如011=8*8byte=64 bit
awlen/arlen一次传输任务中的传输数据包个数,在INCR传输类型下可以传输1至256个数据包,在其他传输类型下只能传输1-16个数据包,特别地,WRAP传输类型下只能传输2,4,8或16个固定的数据包个数。因此AWLEN与ARLEN的位宽为8位,其中LEN=0代表传输一个数据包,
eg: len = ed = 238个数据包,size = 0,就是1byte。则这次总共需要传输数据个数为 238 *1byte
awburst/arburst突发类型
awlock/arlock总线锁信号。AXI3协议中用于锁定从机,占用总线,AXI4中取消了相关支持,留下一位信号用作指示传输为正常传输(1’b0)还是独有传输(1’b1)
awcache/arcache内存类型,表明一次传输是怎样通过系统的。
awprot/arprot保护类型,表明一次传输的特权等级和安全等级。

AWSIZE/ARSIZE:传输数据包大小,位宽为3位,(Bytes=2^Burst_size):

AxSIZE传输字节数AxSIZEAxSIZE
3’b00013’b10016
3’b00123’b10132
3’b01043’b11064
3’b01183’b111128

AWBURST/ARBURST: 传输类型,位宽为2位,具体含义如下

AxBURST传输类型
2’b00固定(FIXED)
2’b01递增(INCR)
2’b10回环(WRAP)
2’b11未定义

FIXED传输为地址固定传输,所有传输都会写在同一个地址中。主要应用在FIFO的传输中,因为FIFO为先入先出,只需要往同一个地址写数据即可。

INCR传输为地址递增传输,可根据具体的配置有固定长度递增和非定长递增。大部分的数据传输都是使用这种方式,尤其是在内存访问中,可以大大提高效率。

WRAP传输为地址环回传输,在一定长度后会回环到起始地址。主要应用在Cache操作中,因为cache是按照cache line进行操作,采用wrap传输可以方便的实现从内存中取回整个cache line。

AWLOCK/ARLOCK:这个信号在AXI3协议中用于锁定从机,占用总线,但在AXI4中取消了相关支持,仅留下一位信号用作指示传输为正常传输(1’b0)还是独有传输(1’b1)。

AWPROT/ARPROT:指明访问是否被允许,位宽为3位,与slave相关,不同的访问回产生不同的Respect。

AxPROT功能
AxPROT[0]0/1非优先访问/优先访问
AxPROT[1]0/1安全访问/非安全访问
AxPROT[2]0/1数据访问/指令访问

AWCACHE/ARCACHE:该信号指明了总线中的存储类型,信号位宽为4位

AWQoS/ARQoS:Quality of Service, 位宽为4位,手册中并没有固定该信号的确切用途,但是建议将该信号用于优先级声明信号,值越高代表优先级越高。

**AWREGION/ARREGION:**区域标记,位宽为4位。4位的区域标记信号可以标记16个区域。当从机有很多分离的地址空间时,使用这个信号可以仅仅使用一个物理总线就能实现多个逻辑总线的功能。经典的应用是一个外设控制寄存器与数据寄存器分离的时候,使用该信号可以在不对地址解码的情况下访问到两块地址空间

例如,当一个外设控制寄存器的地址空间为0x0000 - 0x00FF,数据寄存器的地址空间为0x1000 - 0x1FFF,就可以将地址位设置为12位(0x000-0xFFF),控制寄存器的区域标记为4’b0000,数据寄存器的区域标记为4’b0001,实际寻址的地址为区域标记+地址位,其中区域标记位作为高位加在地址位前就可以了,可理解为优先级更高的ID。

Writer/Read data signal

rid一次传输的id tag. AXI4取消了对Wid的支持,所以写通道的写顺序必须与写地址通道保持一致
wdata/rdata读写数据
wuser/ruser用户自定义信号
wvalid/rvalid有效信号,表明通道传输数据有效
wready/rready表明从机可以接收写数据/读数据和响应信号
wlast/rlast表示此次传输是最后一个突发传输
写事务传输的最后一个数据。与最后一个写数据的WVALID信号同步拉高。只有当WLAST由高变为低,一个传输任务才完成。在此之前无法中断传输过程。RLAST信号也类似。
wstrbWSTRB为写选通信号,指示当前传输的有效数据段。有效数据段单位是8bit,也就是32bit数据位宽的总线的WSTRB信号会有4位,WSTRB[3]指示WDATA[31:24]的有效性。
rresp读响应信号,表明读传输的状态

Writer resp signal

BID写响应ID tag
BRESP写响应,表明写传输状态
BUSER用户自定义
BVALID写响应有效
BREADY表明主机能够接收写响应

AXI_Write

在这里插入图片描述

写通道分为写地址,写数据,写应答三个通道,三个通道数据互不干扰,但有一定的先后顺序。

下图单箭头表示无先后依赖关系,双箭头表示有先后依赖关系。

在这里插入图片描述

  1. 三个通道的vaild和ready信号没有先后关系,vaild可以先于或晚于或同时与ready信号拉高。
  2. 写数据通道的数据可以先于写地址通道数据出现在总线上。
  3. 写通道的写顺序必须与写地址通道保持一致
  4. 因为axi通道分离,所以可支持outstanding操作,即不需要等待前一笔传输完成就可以发送下一笔操作。master不需要等待前一笔操作A响应完成就可以发送下一笔操作请求B,这样可以有效提升通路利用率,提升系统性能
  5. 传输地址和传输数据都是在valid和ready信号同时为高时传输。

下面来分析一笔axi 写传输操作:
在这里插入图片描述

  • T0时刻:mst发出写地址信号,同时vaild信号拉高。但ready信号并没有拉高(这时候允许ready信号为高)
  • T1时刻:slv采样到写地址和vaild信号,将ready信号拉高,对写地址通道做一次握手。
  • T2时刻。mst采样到slv的ready信号为高。握手成功。并驱动写数据通道传输数据。同时vaild拉高。表示数据有效。开始一次burst传输。
  • T3时刻slv开始采样mst传输的数据。只有在valid和ready同时为高的时候采样数据才有效。
  • T8时刻mst发送最后一笔burst出书的数据,同时WLAST信号拉高,表示此次Burst发送数据完成
  • T9时刻,mst采样到所有数据和WLAST信号,并给出resp信号,同时拉高valid信号。READY信号早已拉起
  • T10,slcv采样到resp并valid ready信号有效,一次burst传输完成。

注意项:

  • 写数据通道的数据信息被认为是缓冲(buffered)了的,“主”无需等待“从”对上次写传输的确认即可发起一次新的写传输。写通道包括数据总线(8/16…1024bit)和字节线(用于指示8bit 数据信号的有效性)
  • Burst传输:多个数据传输,一个burst内部可以被打断的。 Valid信号是源,一个数据传输,一旦valid信号为高就不能拉低,直到此次传输完成。slave的ready信号可以中断,但是最终还是要高起来传完一个burst. 对于master来说,一旦开始传数,一个burst之间的valid应该是不会低的
  • READY 信号很自由,可以等待 VALID 信号到来再做响应,但也完全可以在 VALID 信号到来前就置高,表示接收端已经做好准备了。READY 信号与 VALID 不同,接收方可以置起 READY 之后发现:其实我好像还挺忙,然后拉低 READY 信号。只要此时 VALID 信号没有置起,这种操作是完全可以。
  • 上图写时序中,Wdata可以早于Awaddr出现在接口上。写响应信号必须出现在与其相关联的写事务最后
  • 在写回应BVALID有效前,必须要使得写地址通道与写数据通道的握手信号均有效,且写数据通道的WVALID信号必须为最后一个写入数据的有效信号。BREADY信号可在BVALID信号前后有效。而写地址通道与写数据通道的四个握手信号之间无必须要求的时间前后关系。

AXI_Read

在这里插入图片描述

读架构没有读响应信息,读响应信息指明读事务的完成。读取每个数据过后均会进行读回应。写传输过程中只会在一个Burst完成的时候进行写回应。写应答与谢写数据流相反,读应答与读数据流同相。

在这里插入图片描述

同写数据通道一样。读地址通道和读数据通道的valid和ready也没有先后关系,但是读地址和读数据有先后关系(废话)读数据操作需要在给出读地址操作之后才能执行,但读数据通道与读地址通道中的握手信号无规定的先后顺序。设备必须等待ARVALID和ARREADY信号都有效才能给出RVALID信号,开始数据传输。

在这里插入图片描述

  • T0时刻:mst读地址通道给出读地址并将valid拉高。
  • T1时刻:slv检测到读地址和vaild信号,将ready信号拉高。
  • T2时刻:mst采样到valid和ready信号同时为高,第一笔读地址通道握手完成。同时mst驱动第二笔读地址到读地址通道总线上。
  • T3时刻:slv读出第一笔读地址burst传输的读数据驱动到总线,同时拉高RVALID信号,等待mst接收并完成握手。同时slv读地址通道采样到第二笔读地址总线数据,拉高ARREADY信号。等待mst握手。
  • T4时刻:mst采样到AREADY和ARVALID信号同时为高,第二笔读地址传输完成。等待
  • T8时刻:完成第一次burst读数据的最后一笔数据,并拉高Rlast信号,表示第一笔读Burst完成。

:Q&A

  • AXI3与AXI4之间的区别是什么?

    1、AXI3只支持多达16拍的burst 。而AXI4支持高达256拍的burst 。

    2、AXI3支持write interleave。AXI4不支持write interleave(去掉了wid)

    3、AXI3支持locked 传输,AXI4不支持locked 传输

    4、AXI4支持QoS,AXI3不支持QoS。

  • 为什么没有单独的read 响应通道?

    VALID/READY握手机制只允许一个方向的数据流,所以对于读事务,数据(data和resp)从slave流向master,而对于写事务,数据从master流向slave,所以就需要单独的响应通道

  • 为什么在burst 写中,不是每拍都有写响应。但是在burst 读中,每拍都有一个单独的读响应?

    所有的AXI信道只在1个方向传递信息 ,所以才需要一个单独的写响应通道。在大多数应用中不管slave能不能接受完整的数据这个burst传输都会执行完成,slave无需在每一拍都返回ERROR响应

  • 如何终止burst 传输?

    不能。AXI不能够提前终止burst传输。对于写事务,master可以完成burst 传输,通过WSTRB信号丢弃掉无效数据即可,对于读事务同样也可以将读回的数据丢弃。

  • master 可以在burst 传输中间给WLAST吗?

    不行。只有在burst 传输的最后一拍才能拉高WLAST,在burst传输的中间拉高WLAST是违反协议的行为。此外,许多slave设计不会使用WLAST信号,而是自己计数,所以master拉高WLAST也不会提前终止burst传输。

  • Slave能在burst传输完成之前置起rlast么?

    和上题一样,slave在burst传输的未完成就拉起RLAST是违反协议的行为。master同样也有可能不依赖RLAST

  • 既然WLAST和RLAST可能不被使用,那为什么需要支持这两个信号?

    为了支持所有的master和slave,无论其是否依赖这两个信号传输数据。

  • Exclusive 读写事务是什么?在哪里使用?

    如果一个内存区域被多个master共享,某个master需要希望确保在没有其他master更改共享内存的情况下完成读然后写的序列,就可以使用Exclusive 传输

  • 读取事务是否有可能在一个周期内完成?

    需要至少1个时钟周期传递地址(从master到slave),然后至少1个时钟周期传递数据(从slave到master)。

  • axi slave是如何支持Exclusive 访问的?

    假设 AXI Master1(M1)已经启动了对地址位置12h100到12h10F的exclusive 读写。那么相应的salve将开始监视由M1给出的这些地址。如果此时该地址被其他master执行写操作,此时会返回Exclusive error

  • 发送给slave的读写地址和读写数据是如何关联的?

    AR总线和AW总线是独立的,不管读写请求的地址是否顺序发出的,响应的数据都可能是无序的,他们之间是通过awid和arid对应配对的(axi3)axi4去掉了wid,所以写通道的写顺序必须与写地址通道保持一致

  • 31
    点赞
  • 300
    收藏
    觉得还不错? 一键收藏
  • 11
    评论
### 回答1: AXI(Advanced eXtensible Interface)是由ARM(Advanced RISC Machines Ltd.)公司开发的一种高性能、低功耗的总线协议。该协议用于连接系统的各个模块,实现高效的数据传输和通信。 AXI协议主要分为AXI主机和AXI从机两种角色。AXI主机负责发起并控制数据传输,而AXI从机则负责接收和响应数据传输请求。 AXI协议具有以下特点: 1. 高性能:AXI协议支持并行传输,数据和地址可以同时进行传输,从而提高了数据传输的效率。 2. 高可配置性:AXI协议支持的配置选项很多,可以根据具体的设计需求进行灵活的配置。 3. 支持多种传输类型:AXI协议支持不同类型的传输,包括读、写、写唯一(WriteUnique)和不唯一(WriteNoSnoop)等。 4. 支持乱序传输:AXI协议支持乱序传输,能够根据具体情况对传输请求进行优化,提高总线性能。 5. 支持突发传输:AXI协议支持突发传输,可以连续传输多个数据,减少传输时延。 AXI协议详解.rar是针对AXI协议的详细解释和应用示例的文档压缩包。该文档包含了AXI协议的各种细节和特性的说明,以及如何在实际设计中使用AXI协议的示例和案例。通过详解和示例,可以更好地理解和应用AXI协议,提高系统的性能和可靠性。 总的来说,AXI协议是一种高性能的总线协议,利用其所提供的特性和灵活性,可以实现高效的数据传输和通信。AXI协议详解.rar则是一份详细的文档,可以帮助用户更好地理解和应用AXI协议。 ### 回答2: AXI协议(中文版)和AXI协议详解.rar是两个相关的文档资源,用于解释和说明AXI(Advanced eXtensible Interface)协议AXI协议是一种开放标准的总线协议,由ARM公司开发,用于处理片上系统中的通信和互连。该协议被广泛应用于系统芯片设计和嵌入式系统中。AXI协议通过提供高带宽、低延迟和高性能的数据传输,以支持多个从设备和主设备之间的数据交换。 AXI协议(中文版)是对AXI协议的翻译版本,主要为中文用户提供了更加方便理解和使用该协议的资料。该文档包含了AXI协议的详细说明和技术细节,包括协议的基本原理、数据传输格式、通信流程、信号规范等内容。它可以帮助学习者深入理解AXI协议的工作原理,以及如何在系统中应用和配置该协议。 而AXI协议详解.rar则是一个压缩文件,包含了更为详细的AXI协议相关材料。这些材料可能包括技术手册、应用指南、设计示例、代码库等资源,用于帮助工程师在实际项目中应用和实现AXI协议。该压缩文件提供了更全面的参考资料,可以帮助用户更深入地了解AXI协议,并在实际项目中解决问题和进行开发。 总之,AXI协议(中文版)和AXI协议详解.rar是两个重要资源,为用户提供了关于AXI协议的详细说明和应用指南。通过学习和参考这些资料,用户可以更好地了解和运用AXI协议,从而设计和开发出更高效和可靠的系统。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 11
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值