SystemVerilog中$write与$display区别

$display()函数    

$display 是普通的显示函数(是的,我觉得它更像一个函数,而不像是一个任务。类似于C/C++、matlab和python等对应的控制台打印函数),它在任何它被调用的地方被即时执行。换句话说,程序执行过程中碰到它的时候就立即执行。

$write()函数        

$write与$display几乎相同,差异仅在于后者缺省第带换行符,而前者不带。所以当你需要将多次调用的语句打印的内容显示在同一行,那就是应该用$write的地方。

        如果不带任何参数调用$display()的话,就相当于一个单纯的换行,而不带任何参数调用$write()的话则什么都不会发生。
 

  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

狮子座硅农(Leo ICer)

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值