FPGA基础入门【1】Vivado官方免费版安装

本人自本科大二开始接触FPGA相关知识,现已将近五年,从这篇开始将从比较基础的角度讲述如何一步步了解FPGA。我相信动手一步步做下去是从零开始学习知识的最快方法,因此不会从最基础开始讲,而是在碰到相应知识时尽量做到对每一句做出解释。

不过我依然会在合适时候写出些基础的总结篇,毕竟学习过却没有总结,总是觉得不系统。我会在一段基础知识基本出现过之后贴上链接供参考。

FPGA基础入门【1】Vivado Webpack和ModelSim安装

写在前面

这篇教程会用到软件Vivado Webpack,用ModelSim做仿真,系统环境是Windows 10,编程语言使用Verilog HDL,开发板使用NEXYS 4

目前FPGA主要是三家公司,Xilinx、Altera和Lattice。我没有用过Lattice不知道怎样,但Xilinx和Altera比起来,个人认为Xilinx对于新手更友好,一个是有价格相对合适的板子可以买到,比如NEXYS 4、Zybo、Zedboard一类,对在美国留学的学生来说还有优惠;另一个是Xilinx的软件Vivado免费版看起来更清晰干净。可能有人觉得Altera公司的Quartus也挺干净的,而且也有免费版,但看过Quartus怎么都除不干净挤成一团的warning,还有又臭又长不懂加图的文档,只能说累觉不爱。

Vivado Webpack下载

多图预警

目前Vivado更新到的最新版本是2018.3,就挑选这个版本作栗子吧。下面的链接是到Vivado的下载地址:Vivado Download

找到相应版本的 WebPACK,Web installer在线下载安装占空间更小,有对应系统Windows和Linux的,而All OS installer single-file就比较大了,一个就有19个G。这对于不在北美的人来说比较麻烦。
Download
点进去后要求登录
SignIn
没有账号的话创建一个,系统会给你发一个激活邮件,等到邮件后点击邮件中的激活链接就可以了,这个就不细讲了
Create Account
现在回到刚刚的下载界面,点击版本,再登录后就要求你填写信息并确认下载
Download

Vivado Webpack安装

下载完成后打开。手头没有Linux的机器无法展示,打开.bin文件命令如下

$ chmod +x Xilinx_Vivado_SDK_Web_2018.3_1207_2324_Lin64.bin
$ ./Xilinx_Vivado_SDK_Web_2018.3_1207_2324_Lin64.bin

Install1
Install2
Install3
Install4
Install5
Install6
Install7
Install8
然后开始漫长的等待。。。网速不好的话会更久。。。

License

安装好打开后应该会自动进入Vivado License Manager。此时应该回到Xilinx官网下载一个免费WebPACK的license:
Xilinx Product Licensing Site

登录后会要求你再输入一次和下载安装包时相同的信息
license1
下一步看到此界面,Vivado的运行只需要第二个,但是为了保险起见全勾选上了
license2

点击Generate Node-Locked License之后,出现此界面,要求你加入一个Host ID。在其他教程中曾经有看到Host ID是Any的,但是我从没遇到过,因此还是点Add a host添加一下
license3
license4
共有三种Host ID可以选择,如果要用硬盘序列号,则可以win+R输入cmd调出命令行,然后输入此命令获取自己的硬盘序列号:

wmic diskdrive get Name, Manufacturer, Model, InterfaceType, MediaType, SerialNumber

我使用的是MAC Address,同样在命令行输入

 ipconfig /all

找到在线的adapter后,把相应的physical address输入,可以去掉中间的符号。
license5
license6
输入好以后下一步,系统就会把相应的license文件邮件发给你。
license7
license8
收到邮件后下载并拷贝进合适的地方,回到之前的vivado license manager,左侧选择load license,点击copy license后转到保存license文件的路径。
license9
到这一步基本上都完成了,可以在view license status里看到有效内容

Vivado是Xilinx公司推出的集成电路设计软件,它的Tcl接口是一种命令式脚本语言,可以用于控制Vivado的各种功能和操作,对于初学者来说,掌握Vivado/Tcl的使用是非常重要的。 对于零基础入门者来说,可以通过以下步骤来学习Vivado/Tcl: 1. 下载和安装Vivado软件。可以到Xilinx公司的官方网站上下载免费Vivado Design Suite软件,并按照安装向导进行安装。 2. 学习Tcl基础知识。Tcl是与Vivado配套的脚本语言,可以通过阅读Tcl的相关资料和教程来学习Tcl的基本语法和用法。 3. 学习Vivado/Tcl的命令和功能。Vivado/Tcl提供了很多命令和功能,可以用于从设计创建到验证和实现等各个阶段的操作。可以通过阅读Vivado/Tcl的官方文档或相关书籍来学习这些命令和功能的使用。 4. 实践案例实战。为了更好地掌握Vivado/Tcl的应用,可以选择一些案例实战项目来进行实践。可以从官方提供的案例中选择一个合适的项目,并按照案例中的步骤进行操作,并结合Tcl脚本语言来完成相应的设计任务。 5. 提升技能。在掌握了Vivado/Tcl的基本用法之后,可以尝试更复杂的项目,并学习一些高级的用法和技巧,以提高设计和开发的效率。 总之,学习Vivado/Tcl需要一定的时间和耐心,但只要坚持不懈地学习和实践,就能够掌握这一强大的集成电路设计工具,并能够在实际项目中应用它。
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值