modelsim保存仿真波形

一、保存为.wlf文件

1、完成仿真波形;

2、切换到sim视窗;

3、点击保存图标保存;

4、打开:file菜单打开.wlf文件,选中窗口中的信号右击,add to wave...即可。

二、保存为.do文件

1、保存

    file->save format->xxx.do,当然可以自定义路径及文件名;

2、加载

    在sim视窗下,file->load;

3、.do文件记录了仿真过程命令。

 

转载于:https://www.cnblogs.com/tubujia/p/4401939.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值