Verilog语言中产生随机数的方法

在Verilog语言中,系统任务 $random函数提供了一个产生随机数的方法。当函数被调用时返回一个32bit的随机数,它是一个带符号的整形数。

$random一般的用法是:$ramdom % b ,其中 b>0.它给出了一个范围在(-b+1):(b-1)中的随机数。

例1:reg[23:0] rand;  rand = $random % 60; 给出了一个范围在-59到59之间的随机数。

例2:reg[23:0] rand; rand = {$random} % 60; 通过位并接操作产生一个值在0到59之间的数。

例3:reg[23:0] rand; rand = min+{$random}%(max-min+1);

产生一个在min, max之间随机数的例子。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值