解读 8 位移位寄存器的设计

8 位移位寄存器的设计

先了解下 8 位移位寄存器的设计的原理,先从基础开始:要求掌握 8 位移位寄存器原理,并根据原理设计 8 位移位寄存器模块以及设计相关testbench,最后在 Robei 可视化仿真软件进行功能实现和仿真验证。
首先:有一个 8 比特的数据(初值设为 10011100)和一个移位设置数据 s,根据 s 的值不同,产生不同的移位。这里规定移位的方向是向右,由于是 8 比特,因此 s 的变化范围为 0 到 7。

****第一步shift 模型设计
(1)新建一个模型命名为 shift,类型为 module,同时具备 5 个输入和 1 个输出,每个引脚的属性和名称进行对应的修改
在这里插入图片描述
在这里插入图片描述
(2)添加代码。点击模型下方的 Code 添加代码。

always@(posedge clk or negedge clr) 
begin: shift_reg
if(clr) 
data_out <= 8'b0;
else if(en) 
begin 
case(set[2:0])
3'b0: data_out <= data_in[7:0]; 
3'b1: data_out <= {data_in[0],data_in[7:1]};
3'd2: data_out <= {
   data_in[1:0],data_in[7:2]};
3'd3: data_out <= {data_in[2:0],data_in[7:3]};
3'd4: data_out <= {
   data_in[3:0],data_in[7:4]};
3'd5: data_out <= {data_in[4:0],data_in[7:5]};
3'd6: data_out <= {
   data_in[5:0],data_in[7:6]};
3'd7: data_out <= {
   data_in[6:0],data_in[7]};
default: data_out <= data_in[7:0];
endcase
end
end

(3)保存模型到一个文件夹(文件夹路径不能有空格和中文)中,编译并检查有无错误输出。
Robei软件中保存文件的时候文件名不能有空格河中文,同时要把设计文件和测试文件一起保存到一个文件夹。

第二步: shift_test 测试文件设计
1、新建一个具有 5 个输入和 1 个 输出的 shift_test 测试文件,记得将 Module Type 设置为“testbench”,各个引脚配置。
在这里插入图片描述
2、另存为测试文件。将测试文件保存到上面创建的模型所在的文件夹下。
3、加入模型。在 Toolbox 工具箱的 Current 栏里会出现模型,单击该模型并在 shift _test上添加,并连接引脚
在这里插入图片描述
(4)输入激励。点击测试模块下方的“Code”,输入激励算法。激励代码在结束的时候要用**$finish** 结束。

测试代码:

initial begin
clock=0;
clr
  • 5
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值