inout信号的连接

        在verilog代码设计中,经常会碰见inout的io信号,验证平台中如何连接这类inout信号呢?sim_top中inout信号的连接:

  • inout信号意思是有一段是平台激励dut,有一段是dut发送出来的数据,所以不能单纯的按照dut的输入信号,进行force激励,也不能单纯的按照dut的输出信号,进行assign连接,如果单纯的连接为force、assign,会导致仿真结果异常(已通过波形确认);
  • 下代码是sim_top中的连接代码,第一种方式可以实现既定的inout功能,第二种方式不能实现既定的inout功能;
  • 问题:到底这两种连接方式有何不同?(估计inout 使用assign不行--L大佬所说)
    • ps:io_data是A模块中一个inout的单bit信号,比如mdio时序中的mdio信号;
//正确
A A_inst(.io_data(mo_intf.io_data_tmp));

//错误
assign A_inst.io_data = mo_intf.io_data_tmp;
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值