FPGA 之 DDS简易 原理阐述

DDS(Direct Digital Synthesizer) 即数字合成器 ,可以通过修改FWORD(频率控制字) 和 PWORD(相位控制字) 来较容易实现

频率、相位、以及幅度的控制,在通信领域运用较为广泛。其原理图如下图所示

 

 

下面以代码的形式来解释此图的原理 

假设Clk 时钟频率为100MHZ 周期为10ns ,假设 data 可以组成32点正弦波信号

always@(posedge Clk)

 time <= time +1'b1 ;

case(time)

0 :data =  0

1:data =  1

2:data = 2.5

3:data = 3.7

此处省略

31:data =0 ;

可知 : 一个正弦波周期为 32*10 即 320ns 频率为 1/320 * 10^9 hz ,要想使其变为周期为160ns 的正弦波 我们知道 每隔10ns 输出一个点 ,要想周期为160ns 则需输出 160/10 个点 即16个点 ,我们怎么控制系统输出16个点呢? 仔细想一想就知道只需要将 time <= time + 1 ;改为 time <= time + 2 即可 ;而time <= time + B正好对应的就是累加器的电路图 ,完全是DDS的思想 。

为了进一步理解DDS原理 , 仍以代码的形式进行理解 。

一般情况下

  • 7
    点赞
  • 38
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值