7 系列 FPGA GTX 时钟架构浅析

本文介绍了Xilinx 7系列FPGA中GTX时钟架构,重点讨论了Quad概念,包括其内部结构和时钟源选择。Quad集成了四个gtx2_channel和一个gtx2_common,通过IBUFDS_GTE2原语提供灵活的时钟输入。QPLL和CPLL的时钟输出可用于TX和RX端,并可通过TXOUTCLK和RXOUTCLK选择输入源。TXUSRCLK和TXUSRCLK2的生成及其在数据传输中的作用也被提及。
摘要由CSDN通过智能技术生成

主要参考Xilinx 官方提供的ug476

首先看图,明确一下Quad的概念

                                                       

 Quad(Q):是集成了四个gtx2_channel 和一个gtx2_common  

下图是Q的内部结构

                               

可以看出来一个Q 含有两个IBUFDS_GTE2原语,其中输入可选,可以是MGTREFCLKP/N0,也可以是MGTREFCLKP/N1(此时钟一般为板子上的时钟),原语使用如下:

IBUFDS_GTE2 ibufds_instQ0_CLK1  
    (
        .O               (gtrefclk0),      // gtrefclk1
        .ODIV2           (),
        .CEB             ('b0),
        .I               (MGTREFCLKP0),    //   MGTREFCLKP1                      
        .IB              (MGTREFCLKN0)  //MGTREFCLKN1
    );

这样就能得到参考时钟gtrefcl

  • 3
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值