自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(44)
  • 资源 (1)
  • 收藏
  • 关注

原创 硕士毕业论文写作经验教训

论文的第一二章通常是一些综述性的内容,该部分在写作的时候要注意每一段在写作之前要有明确的表达目标,尽量将中心思想放在第一句或最后一句。这样写的好处是可以对每一章的逻辑有一个比较流畅的认知,不会出现写跑题的问题。论文第一章要体现研究的必要性。通过描述项目的意义与重要性,来表达出自己的工作是有意义的、十分必要的。如果表述过于平淡,会令评阅人感觉论文的工作用处不大。一些冗杂的研究背景无需介绍。过于杂的研究背景内容会导致评阅人阅读文章的时候找不到重点,尽量写最契合的背景。例如《蒙特卡洛粒子输运程序的优化》,对.

2022-05-11 21:47:33 707

原创 gem5 SE模式 -h可选参数(optional arguments)

-n --num-cpus=NUM_CPUS定义cpu数量–list-cpu-types列出可用CPU种类–cpu-type{AtomicSimpleCPU,TimingSimpleCPU(需要模拟运行时间),TraceCPU…}–mem-type{CfiMemory,DDR3_1600_8x8,DDR3_2133_8x8,DDR4_2400_16x4…}–list-mem-types列举可用内存种类(可显示如上)–mem-channels=MEM...

2021-12-19 18:34:47 732 4

原创 Intel PinTools使用笔记——INS_InsertCall()

最近想采集某程序访存相关的trace,打算用PinTools。Pin的使用很多博文已经进行了介绍,本文介绍关于VOID INS_InsertCall (INS ins, IPOINT action, AFUNPTR funptr,...)的相关内容。以</pin directory>/source/tools/ManualExamples/inscount0为例,该实例生成的.so可用来记录程序运行过程中指令的数量。/* * Copyright 2002-2020 Intel Corp

2021-12-09 17:17:53 1081

原创 硬件数据预取器Prefetcher

该文章内容主要基于《Effective Hardware-Based Data Prefetching for High-Performance Processors》Tien-Fu Chen, Member, IEEE, and Jean-Loup Baer, Fellow, IEEEIEEE TRANSACTIONS ON COMPUTERS, VOL. 44, NO. 5, MAY 1995文章中描述了三种常用的数据预取器Basic Reference PredictionLookahe

2021-12-05 13:26:12 3292

原创 解决pin-3.18/source/include/pin/pin.H:20:10:fatal error:xed-interface.h:没有哪个文件或目录

报错:pin.H:20:10:fatal error:xed-interface.h:没有哪个文件或目录----在pin-3.18/source/include/pin的目录下确实是没有xed-interface.h解决办法:打开pin-3.18/extras/xed-intel64/include/xed,找到了xed-interface.h

2021-04-02 23:33:36 604 2

转载 git clone速度慢的办法——亲测好用!!!

转自知乎https://www.zhihu.com/question/27159393把 git clone https://github.com/… 换成git clone https://github.com.cnpmjs.org/…

2021-04-01 22:01:48 176

原创 ubuntu磁盘空间不足的解决办法——gparted

gparted工具真是太好用了!今天在使用ubuntu的时候弹出系统的磁盘空间不足,导致apt-get install一些工具都失败了。查看原因发现==挂载点 \ ==可用空间就剩下了47M!,因为一开始装的时候只分配了30G,现如今不够用,于是想扩大 \的空间,解决方法如下:进入虚拟机设置-硬盘-扩展硬盘容量此时我将磁盘空间从30G扩大到了80G,但是进入系统,df -h之后发现 \还是没有扩大,此时需要gparted工具把刚刚扩容的50G分配进来。安装gparted使用 $ sud

2021-02-04 23:59:21 9379 4

原创 SST(The Structural Simulation Toolkit)的安装和配置

本文安装环境基于ubuntu 18.041. 需要提前安装mpich //aptopenMPI //详见下文GNU Make //aptGNU Autoconf //aptGNU Libtool //aptOpenMPI安装步骤(如果已安装可省略此步):可以从http://www.open-mpi.org/software/ompi/v4.0/获得OpenMPI将openmpi-4.0.3.tar.gz放到$HOME/scratch/src解压缩压缩的tar文件$ cd $H

2021-02-03 20:35:29 1994 1

转载 字(words)=?字节(byte)=?比特(bit)

1字节(byte) = 8位(bit)在16位的系统中(比如8086微机) 1字 (word)= 2字节(byte)= 16(bit)在32位的系统中(比如win32) 1字(word)= 4字节(byte)=32(bit)在64位的系统中(比如win64)1字(word)= 8字节(byte)=64(bit)另外,只有char类型是被规定为8位,其他数据类型都没有被强制规定具体位数...

2021-01-01 22:36:43 8382

原创 scratchpad memory结构

SRAM有两种组织结构,片上缓存(cache)和片上便签存储器(scratch pad memory,SPM),结构对比如下:Cache适合构建对实时性要求不高,存在复杂计算应用的系统,而SPM更适合构建对实时性、面积、功耗要求高,不包含复杂计算应用的系统寻址方式如下:cache与SPM的比较:引用论文:[1]硬件多线程处理器的便签存储器分配策略的设计与实现,桂小冬[2]基于ScratchPad memory的嵌入式系统优化研究,胡威...

2020-12-25 13:45:58 11741

原创 使用perf record不能显示函数名称的解决方法

我perf用apt会显示正在读取软件包列表... 完成正在分析软件包的依赖关系树 正在读取状态信息... 完成 E: 无法定位软件包 perf所以我安装的方法是使用如下方式https://blog.csdn.net/tang05505622334/article/details/103057179在使用perf的时候出现只有函数地址而没有函数名称的情况这是因为perf组件没有安装完全的原因,其中的debug的组件没有安装,此时cd /usr/src/linux-s

2020-11-10 20:57:25 7212 7

原创 OpenMC的安装流程——基于ubuntu18.04的从源安装方法

原文在此https://docs.openmc.org/en/stable/usersguide/install.html#installing-from-source1 先决条件首先使用apt得到如下g++cmakehdf52 获取源git clone --recurse-submodules https://github.com/openmc-dev/openmc.git默认情况下,克隆的存储库将设置为开发分支。要切换到最新的稳定版本的源,请运行以下命令:cd openmcgit

2020-11-10 20:32:44 3571 9

原创 VLSI —— 运算模块设计

二进制加法器1. 基础知识A、B为输入,Ci为进位输入,S为和,Co为进位输出基础的加法器:逐位进位加法器 或 行波进位加法器(ripple carry adder) , 进位路径为关键路径,critical path2. 加法器的反向特性3. 加法器们1. 静态加法器 —— 用互补CMOS2. 镜像加法器3. 传输门型加法器 —— S和Co有相同时间4. Manchester曼切斯特进位链加法器如果P(传播)=1,Ci直接传播到Co。动态实现更加简单,减少了Di

2020-07-02 23:42:52 3256 1

原创 VLSI:关于时钟偏差(Clock Skew)和时钟抖动(Clock Jitter)

时钟偏差(Clock Skew)对于T:如果对于①处采样的一个输入IN,第二个时钟周期在④处采样,一定程度改善了电路对于Thold:对于①处采样的一个输入IN,第二个时钟周期在④处采样,如果3处的采样在④就输出了,那么就会得到错误的结果,④处本应该得到①处的数据对于另一种情况:对于T有负面的影响,但是对于Thold没有影响,因为④已经到来对于以上两个情况,选择 <0 的情况更好,因为当Thold为0的时候,竞争就可以被消除,选择如下布线方式:但一般是两个方向上的时钟时钟抖动(C

2020-07-01 23:06:57 2560

转载 Terminal解决iPhone链接Mac时反复连接/断开

转载自https://www.jianshu.com/p/24d5a494289d解决iPhone连上MAC之后会不断地断开又连上,断开又连上问题。$ sudo killall -STOP -c usbd

2020-06-18 09:12:47 541

原创 VLSI数字集成电路设计——互联问题

串扰:由相邻的信号线与电路节点之间不希望有的耦合引起的干扰,称为串扰(cross talk)串扰对电路的影响可以看作两个部分:1. 电容的可靠性——正确性 2. 性能的影响——延迟增大1. 电容的可靠性CXY是寄生电容,X处电压发生变化,导致CY有一个变化。对串扰特别敏感的电路是:位于全摆幅导线(ΔVx = VDD)附近的具有低摆幅预充电节点的电路。 例如:动态存储器,低摆幅片上总线以及某些动态逻辑。————需要电平恢复器2. 电路性能的影响Y处的负载电容与其相邻的两个导线信号变化情况有关

2020-06-05 15:17:41 619

原创 VLSI数字集成电路设计——时序电路

静态存储器和动态存储器静态:1. 只要接通电源,存储状态就会一直被保存,基于正反馈或再生原理2. 一个电路的输出连到输入3. 寄存器较长时间不被更新的时候最有用动态:1. 存储时间很短,也许只有几毫秒,通过寄生电容暂时存储2. 较高的性能和低的功耗1. 静态锁存器和寄存器1. 双稳态原理...

2020-06-04 14:12:18 5724 2

原创 VLSI数字集成电路设计——导线

1.电容平面电容ε和t代表介电常数和绝缘层的厚度边缘电容总计算2.电阻 注意: 由于**“趋肤效应”**,对于比较粗的导线,电阻会随着频率的增加而变大——时钟线clk线往往为了减低电阻而变粗,所以要注意这个问题3.导线模型1.集总模型(Lumped Model)...

2020-06-01 20:16:28 2883 2

原创 VLSI数字集成电路设计——组合逻辑门(下)

5.逻辑门的功耗降低 开关活动性的设计技术和改进逻辑电路的方法1.逻辑重组F = ABCD, ABCD有相同的概率对于随机输入,链形实现比树形实现有低的活动性==2. 输入排序 ==大概率改变的输入,放到后面==3. 分时复用资源 ==分时复用单个硬件资源(如一个逻辑单元或者总线)来完成多个任务是常见的使面积最小的方法,但不一定是功耗最小,因为如果A经常是1,B总是0,那ABAB切换来切换去就消耗能量4. 通过均衡信号减少毛刺由于信号到达时间不一,产生毛刺6.有比逻辑 ——

2020-05-31 19:54:05 4060

原创 VLSI数字集成电路设计——组合逻辑门(上)

互补CMOS的静态特性由于A、B=0,有很大的上拉作用,所以曲线如图又由于体效应:所以另外两条如图2. 互补CMOS的传播延时3.大扇出时的设计技术1. 增大晶体管尺寸可以减少电阻,但是会增加较大的寄生电容,多用作无负载的时候2.逐级加大晶体管尺寸基于该例子的公式,可以知道使R1最小,R2次之,降低起主要作用的电容3.处理关键路径4.重组逻辑结构...

2020-05-31 14:51:21 3649

原创 VLSI数字集成电路设计——CMOS

1. 开关阈值对于长沟道晶体管器件:

2020-05-29 14:55:15 2329 2

原创 VLSI数字集成电路设计——MOS结构

一、MOS不同工作区间1. Vgs=0阈值电压:2. 电阻工作区 Vgs>VT3. 饱和区4. 沟长调制效应 —— Vds的影响5. 速度饱和 —— 沟道非常短的情况载流子的速度因为散射效应(载流子之间的碰撞)趋于饱和6. 亚阈值情况当Vg < VT但Vg > 0的时候,也是有很少的电流的。出现强反型意味着有足够载流子参与导电。总结手工分析模型:二、MOS管的电阻通过计算 Vdd到Vdd/2 过程中的平均电阻三、CMOS的动态特性

2020-05-27 23:32:32 1007

原创 Radix-4加法器的性能分析——论文解析

论文为英文论文,可能部分有直译错误,论文链接在百度云:摘要:我们提出了一种基4静态CMOS全加器电路,与使用标准基2全加器方案相比,它减少了进位加法器中的传输延迟、PDP和EDP。这些改进是通过在晶体管级采用超前进位技术获得的。利用45 nm CMOS工艺参数(电源电压为1.1v)进行Spice模拟,结果表明,基4电路比晶体管数稍大的2位基2纹波进位加法器快24%,而功耗几乎相同。研究了在进位路径中晶体管数目减少的基2和基4加法器的第二种方案。仿真结果也证实了基4加法器比标准的2位CLA具有更好的性能

2020-05-16 13:00:13 1206

转载 乘法器——Wallace树型乘法器

文章转自https://www.cnblogs.com/wangkai2019/p/11153887.html,在此保存一下博主最近在看乘法器相关的知识,发现现在用的比较多的是booth编码的乘法器和Wallace树型乘法器,当然两者并不是互斥的关系,他们也可以结合使用。在这里给大家介绍一下Wallace树型乘法器,希望能对大家有所帮助。首先我们以两个无符号8位二进制数相乘为例来说明Wallace树的算法。由图1所示,图中8位二进制数a和8位二进制数b相乘,产生64个乘积项,记做a[i]*b[j],i,

2020-05-16 10:57:23 8819 3

原创 cv2本地图形读取,由RGB变为灰度,再变为tensor

最近想用pytorch识别本地的图片库,于是打算用一个最基础的网络,没有用到Conv由cv2读取本地图形方式为:array1 = cv2.imread("./result/1.jpg", cv2.IMREAD_GRAYSCALE)x = torch.tensor(array1).float()第一行选取图形目录,cv2.IMREAD_GRAYSCALE为转为灰度第二行为转为tensor形式,可以进入计算基础的网络如下:myNet = nn.Sequential( nn.Linear

2020-05-12 16:39:27 1534

原创 linux--sed、awk命令的介绍和使用方法

sedsed本身也是一个管道命令,可以分析标准输入,对数据进行替换、删除、新增、选取特定行等操作通常是grep指令用来查找行,找到行后用sed进行输出元素的选择例子1——删除某行如果删除3到最后一行,用 sed ‘3,$d’,其中$代表最后一行例子2——插入一行如果是第2行之前,就是 sed ‘2i, drink tea’例子3——插入两行例子4——以行为单位进行替换例子5——显示出n到m行也可以使用head -n 20|tail -n 10来表示11~2

2020-05-11 15:37:49 238

原创 linux--正则化以及grep命令

cut命令取$PATH路径里第二个——f2-c处理规整数据grep命令——基于行操作查找特定字符串grep -n 'the' regular_express//在regular_express文件里查找the,-n代表显示行号grep -vn 'the' regular_express//-v代表查找没有‘the’的中括号[]查找grep -n 't[ae]s...

2020-05-07 14:44:48 326

原创 linux环境变量设置与操作图示

变量定义但是在“=”左右不能有空格,=后内容也不能有空格,NAME=pete is good会报错。echo ¥name可以显示变量内容带空格内容若有符号或空格内容,可以采用“…”或者‘…’,如果内容有“ ‘ ’”,建议用“…”另一种方式是采用\+特殊字符,例如空格、符号增加内容如题目2.,可以用“”和+字符值得一提的是,如果是PATH,可以用PATH=$PATH...

2020-05-04 21:14:56 133

原创 linux文件系统的结构

扇区(Sector):最小的物理存储单位,根据磁盘设计不同,主要有512B和4KB(1B = 8bit)分区表格式:MBR,GPT。MBR = 主引导记录(Master Boot Record,446B) + 分区表(partition table,64B)。GPT支持磁盘容量大于2TBext2文件系统:ext2在格式化后会分为多个区块群组(block group),每个区块群组有独立的...

2020-05-04 13:11:22 261

转载 Linux中怎么看出文件是硬连接?

文章转自https://zhidao.baidu.com/question/579980682.html使用 ls -l即可例子:$ touch file1 # 创建新文件 file1$ touch file2 # 创建新文件 file2$ ln file1 file3 # 为 file1 创建硬链接 file3$ ls -ltotal 0-rw-r-...

2020-05-02 13:11:10 643

原创 macOS中pycharm使用Conda Environment添加conda虚拟环境

首先在conda内通过conda create创建一个虚拟环境,例如叫做deeplearning之后在pycharm内:左上角Pycharm -> Preference -> Project Interpreter -> 右上角小齿轮的Add点击齿轮 -> Add -> ->-> 选择Existing Environment之后在Interpert...

2020-04-29 18:41:38 3581

原创 Linux--一些基本文件操作指令

lscpcp -a 是把文件的权限 时间都复制过来下有一个关于-s -l的例子cp .bashrc /temp/bashrccc 将 .bashrc 改名 bashrccc进行多个文件复制的时候,最后一个目录就是复制目标地址在复制时,必须确定几个信息:是否要完整的保留原文件信息 -a是否为符号链接文件 -l是否为特殊的文件 FIFO Socket是否为目录 -r...

2020-04-28 22:46:46 186

原创 Linux目录树与FHS

文章目录总览/ 根目录部分/usr部分/var部分FHS:Filesystem Hierarchy Standard——目的是让用户了解到已安装的软件通常放在哪个目录FHS定义了三个目录/ (root 根目录):与启动系统有关/usr (unix software resource):与软件安装/执行有关/var (variable):与系统运行过程有关总览/ 根目录部分...

2020-04-28 10:57:54 159

原创 Linux文件权限(rwx,umask,chattr)

用 ls -all可以查看文件权限对于 “-”:d = 目录“-” = 文件l = 链接文件b = 可存储的周边设备c = 串口修改文件属性和权限:chgrp:修改文件所属用户组change groupchgrp [-R] dirname/filename(R是递归)例子:chgrp users init.cfgchown:修改文件拥有者change ownerchow...

2020-04-26 19:54:50 405

原创 Linux dev/sd

对不同分区的组织方式是MBR(Master Boot Record)如果有2个磁盘,,无论磁盘插到哪个sata口,则有dev/sdadev/sdb在同一个磁盘内,如果有不同的分区,那么就是(每个盘占一个分区,总计四个分区)P1:/dev/sda1(分区P1)P2:/dev/sda2(分区P2)P3:/dev/sda3(分区P3)P4:/dev/sda4(分区P4)如果是win...

2020-04-26 13:16:48 1482

原创 处理器的异常与中断——CSR

进入中断1.跳转到CSR寄存器mtvec(machine Trap-Vector Base-Address Register)——可读可写——定义的PC地址开始进行mode = 0. 跳转到BASEmode = 1. 跳转到BASE + 4 * CAUSE(异常编号)2.更新CSR寄存器mcause3.更新CSR寄存器 mepc(机器模式异常PC寄存器 Machine Except...

2020-04-14 23:42:05 2640

转载 学习IC设计之旅

来自http://www.eepw.com.cn/article/201706/360065.htm感觉写的很有指导意义,在此记录并勉励自己完善[转如下]刚毕业的时候,我年少轻狂,以为自己已经可以独当一面,庙堂之上所学已经足以应付业界需要。然而在后来的工作过程中,我认识了很多牛人,也从他们身上学到了很多,从中总结了一个IC设计工程师需要具备的知识架构,想跟大家分享一下。作为一个真正合格的数字...

2020-04-14 21:25:56 670

原创 RISC-V指令集架构特点及其总结

本文章对E203开源核的Decode模块进行总结。一、 指令总结六种基本指令格式,分别是:用于寄存器-寄存器操作的 R 类型指令用于短立即数和访存 load 操作的 I 型指令用于访存 store 操作的 S 型指令用于条件跳转操作的 B 类型指令用于长立即数的 U 型指令用于无条件跳转的 J 型指令RISC-V的指令有几个有点:指令只有六种格式,并且所有的指令都是 32...

2020-04-05 20:42:30 12412

原创 蜂鸟E200模块分析——IFU:Simple-BPU

①Mini-Decode:Mini-Decode 模块内部也是例化调用一个完整的 Decode 模块,但是将其不相关的输入信号接零、输出信号悬空不连接,从而使得综合工具将完整 Deco 模块中无关逻辑优化掉,成为一个 Mini-Decode。好处是不用维护两个decode模块。②Simple-BPU:带条件直接跳转指令——Bxx(BEQ、BNE等)P:使用静态预测后跳转则预测为需要...

2020-04-02 20:49:34 1564

原创 蜂鸟E200处理器笔记

一. 流水线1. 更深的流水线流水线的级数越多,意味着流水线被切得越细,每一级流水线内容纳的硬件逻辑便越少,意味能够运行到更高的主频。由于每一级流水线都由寄存器组成,更多的流水线级数要消耗更多的寄存器,以及更多的面积开销。这是流水线加深的负面意义。由于每一级流水线需要进行握手,流水线最后一级的反压信号可能会一直串扰到最前一级造成严重的时序问题,需要使用 些比较高级的技巧来解决此类反压时序...

2020-04-02 12:59:02 2491

Performance analysis of radix-4 adders.pdf

对CLA和Radix-4的加法进行了对比,个人认为是篇非常好的综述。

2020-05-16

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除