UVM的sequence机制(四)

Sequence机制:
在整个UVM验证平台中,sequence负责transaction的产生,并通过sequencer发送给driver。要产生不同的transaction,那么就要在sequence中下功夫。不同的case的差异主要体现在sequence的不同上。

复杂的同步:virtual sequence
为了使用virtual sequence,一般需要一个virtual sequencer。
Class vsequencer extends uvm_se quencher;
Cpu_sequencer cpu_sqr;
Mac_sequencer mac_sqr;
`uvm_component_utils(vsequencer);
Endclass

在test中,可以例化vsqr,并把相应的sequencer赋值给vsqr的sequencer的指针。
Class base_test extends uvm_test;
Env env_inst;
Vsequencer vsqr;
Function build_phase(uvm_phase phase);
……
Vsqr = vsequencer::type_id::create(“vsqr”,this);
……
Endfunction
Function connect_phase(uvm_phase phase);
………
Vsqr.cpu_sqr = env_inst.cpu_agent.cpu_sqr;
Vsqr.mac_sqr = env_inst.mac_agent.mac_sqr;
……..
Endfunction
Endclass

在virtual sequence 里面可以使用uvm_do系

  • 0
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

南国之邱

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值