格式
`uvm_declare_p_sequencer(SEQUENCER)
源码分析
`define uvm_declare_p_sequencer(SEQUENCER) \
SEQUENCER p_sequencer;\
virtual function void m_set_p_sequencer();\
super.m_set_p_sequencer(); \
if( !$cast(p_sequencer, m_sequencer)) \
`uvm_fatal("DCLPSQ", \
$sformatf("%m %s Error casting p_sequencer, please verify that this sequence/sequence item is intended to execute on this type of sequencer", get_full_name())) \
endfunction
从源码上分析,这个宏实现了两个功能:
• 声明一个指定的sequencer类,
• 将m_sequencer通过向下类型转换,变为指定的sequencer类,即p_sequencer
使用场景
当在sequence中需要使用到sequencer类中成员时,因为sequence是uvm_object,不在uvm_component的树形结构中,因此如果需要对应sequencer的成员时,需要获取到对应sequencer的指针。
那么问题来了,sequencer是如何获取到sequencer的指针的呢?
首先在uvm_sequencer_item基类中存在