FPGA学习-8-串并转换器

系统:win10
软件编辑和程序下载平台:Quartus II
仿真平台:modelsim
FPGA:EP4CE6

代码,(已经运行成功)

`define bit0 8
module serial_to_parallel_8bit(
	input 	sys_clk,
	input 	sys_rst_n,
	
	input en,
	input 	in,
	output reg [`bit0 - 1:0] out
);



always @(posedge sys_clk or negedge sys_rst_n)begin
	if(!sys_rst_n)begin
		out <= `bit0'b0000_0000;
	end
	
	else if(en) begin
		out <= {out[7:1], in};
	end
	else
		out <= out;
end

endmodule

解释:
八位串并转换器就是将串行数据,通过移位的方式将数据一位一位的保存到8bit的out中,
在将out输出到对应位的IO口,就是串并转换

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

落子无悔!

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值