FPGA数据的串并之间的转化

问题:

在课程设计中,需要数据的输入和输出,但只有一根线作为输入,一根线作为输出

需求:

实现输入输出过程中的串并转换

具体数据的串并转换思想:

对于数据,采用移位寄存器的思想,一位一位的实现数据的输入和输出,注意时钟的频率,就可以不产生问题。

具体代码如下:

// 4位的输出,最高位一次输出,并行转串行输出
always@(posedge m_clk)//串行译码输出
begin
 dataout<=out_buff[3];
 out_buff[3:1]<=out_buff[2:0];
  if(output_cnt==2'd3)
   begin
    output_cnt<=2'd0;
    out_buff[3:0]<=o_buff[3:0];
   end
  else
    output_cnt<=output_cnt+2'd1;
end

实现效果:

4位的数据(out_buff)可以实现一位一位(dataout)的输出

效果串转并仿真图:

并转串效果图

 

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

一枚努力的程序猿

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值