FPGA中的简单并串,串并转换

1.并串转换

用verilog实现四位串并转换和并串转换

从图中可以看出,并串转换的原理是:先将四位数据暂存于一个四位寄存器器中,然后左移输出到一位输出端口,这里通过一个“移位”指令就ok了。

如:

module para_serial(
clk,
rst_n,
en,  //外部待传输数据输入
sda  //三态数据输入
);

input wire clk;
input wire rst_n;
output reg sda;
output reg en;

reg [7:0]sda_buf;
reg [3:0]counter;



//计数器
always@(posedge clk or negedge rst_n)
	begin
		if(!rst_n)
			begin
				sda<=0;
				sda_buf<=8'b1001_1101;
				counter<=0;
				en<=0;
			end
		else
			begin
				if(counter<8)
					begin
						en<=1;
						counter<=counter+1'b1;
						sda_buf<={sda_buf[6:0],sda_buf[7]};
						sda<=sda_buf[7];
					end
				else
					begin
						counter<=0;
						sda<=0;
						en<=0;
					end
			end
	end
	



endmodule 

2.串并转换

用verilog实现四位串并转换和并串转换

从图中可以看出,串并转换的原理是:新输入的位值成为原来数据的最低位,将原来数据的最高位舍去,这里可以通过一个简单的“连接符”就能搞定。

reg [7:0]sda_reg;
//wire en;

always@(posedge clk or negedge rst_n)
	begin
		if(!rst_n)
			begin
				sda_reg<=0;
			end
		else
			begin
				if(en)
					begin
						sda_reg<={sda_reg[6:0],sda};  //将并转串模块输出的串行数据sda转入sda_reg的每一位(从低位到高位)
					end
				else
					begin
						sda_reg<=0;
					end
			end
	end
	

 

  • 5
    点赞
  • 56
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值