国考系列

[2017国考] 一正三角形小路如图所示,甲乙两人从A点同时出发,朝不同方向沿小路散步,已知甲的速度是乙的2倍。问以下哪个坐标图能准确描述两人之间的直线距离与时间的关系(横轴为时间,纵轴为直线距离)?


题解图1

解题思路:我们不妨乙在 t t t时间内所走路程为 x x x,则相同时间甲所走路程为 2 x 2x 2x,如题解图1所示。根据余弦定理,甲乙此时的距离为:

d = x 2 + ( 2 x ) 2 − 1 2 ⋅ x ⋅ 2 x ⋅ cos ⁡ 6 0 ∘ = 3 x d=\sqrt{x^2 + (2x)^2 - \frac{1}{2}\cdot x \cdot 2x \cdot \cos60^\circ} = \sqrt{3}x d=x2+(2x)221x2xcos60 =3 x

于是B和C排除。

再者,当甲走完当前所在边,乙恰好到达其当前所在边的中点,此时二者距离最大。此后,二者的距离将减小,如题解图2。

此时由余弦定理仍可计算得出甲乙之间的距离为 3 x \sqrt{3}x 3 x,只不过其表现在坐标系中斜率为 − 3 -\sqrt{3} 3 。于是,D项符合。
由于甲乙二者会在乙的第一条边所在的端点重合,此时距离为0。而后其将重复先前过程。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
国微集团FPGA考试题目主要涉及以下内容: 1. FPGA基础知识:考察对FPGA的了解程度,包括FPGA的定义、结构特点、工作原理等。如:请简述FPGA的基本概念和特点。 2. FPGA开发工具:考察对FPGA开发工具的熟悉程度,包括常用的FPGA开发软件、开发环境搭建等。如:请列举几种常用的FPGA开发工具。 3. VHDL或Verilog语言:考察对VHDL或Verilog语言的掌握程度,包括语法、数据类型、查找表设计等。如:请写出一个简单的VHDL或Verilog代码,实现一个2输入AND门。 4. FPGA设计流程:考察对FPGA设计流程的了解,包括需求分析、设计规划、编码实现、仿真调试等。如:简述FPGA设计流程,并说明各个阶段的主要任务。 5. 实际应用案例:考察对FPGA在实际应用中的理解和应用能力。如:请举例说明FPGA在数字信号处理领域的应用场景。 回答示例: 1. FPGA基础知识:FPGA(Field-Programmable Gate Array)中文为现场可编程门阵列,是一种可现场编程的集成电路,具有灵活性高、可重构等特点。 2. FPGA开发工具:常用的FPGA开发工具有Xilinx的Vivado、Altera(现Intel)的Quartus、Lattice的Radiant等。 3. VHDL或Verilog语言:以下为一个简单的VHDL代码实现2输入AND门: ```vhdl library ieee; use ieee.std_logic_1164.all; entity AND_gate is port(a, b : in std_logic; y : out std_logic); end entity; architecture behavior of AND_gate is begin y <= a and b; end architecture; ``` 4. FPGA设计流程:FPGA设计流程包括需求分析(确定设计目标和功能)、设计规划(选择设计工具和平台)、编码实现(使用HDL进行硬件描述)、仿真调试(验证设计功能和性能)、综合实现(将HDL转化为逻辑电路)等阶段,每个阶段都有具体任务和相应的工具和方法。 5. 实际应用案例:FPGA在数字信号处理领域广泛应用,如音频和视频编码、数字滤波器、图像处理等。例如,FPGA可以用于实时音频信号采集和处理,将音频信号转化为数字信号后,利用FPGA进行滤波、音频编解码等处理,实现音频信号的增强和压缩。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值