FPGA学习记录——VGA的一些使用(一)

参考书目:《FPGA Verilog开发实战指南——基于Xilinx Artix7》、《FPGA Verilog开发实战指南——基于Altera EP4CE10》。

参考视频:b站小梅哥的视频、野火的视频。

FPGA 在图像传输、处理方面有不可或缺的作用,所以学习掌握视频传输的相关知识是的非常有必要的。从最简单的 VGA 接口开始着手学习,了解掌握VGA 接口的相关知识,为后续其他视频接口的学习做铺垫。学习掌握 VGA 视频接口的基本知识和概念, 了解掌握 VGA 接口时序。根据所学知识设计一个 VGA 显示控制器, 并在 VGA 显示器上进行多色彩条显示。

VGA的显示方法就和写字一样从左到右,从上到下,按我的理解,图像就像一个面条一样,是一根输入。而写字的时候都会在作业本上上下左右留有空白而真正有字的只有正中间。

行扫描左右的同步时钟是确定的而,后沿、左边框等为各种分辨率的参数。

场同步信号一样,各项参数都会给出。

localparam Hsync_End = 800;
localparam HS_End = 96;
localparam Vsync_End = 525;
localparam VS_End = 2;
localparam Hdat_Begin = 144;
localparam Hdat_End = 784;
localparam Vdat_Begin = 35;
localparam Vdat_End = 515;

以上为640*480的参数。

VGA的行时许和列时许如上图,真正显示的区域为黄、粉红的交界处。

    input clk;
   
    input reset_n;
    input [23:0]data;
    output reg VGA_HS;
    output reg VGA_VS;
    output reg VGA_BLK;
    output reg[23:0]VGA_RGB; // R[7:0]、G[7:0]、B[7:0]3
    output reg data_req;

 输入有通常的clk、rst_n、data,data为图像数据,本实验使用的是ROM写入的是由MIF精灵的生成.coe文件,具体的操作小梅哥的视频有讲解。

    reg [9:0]hcnt;
always@(posedge clk or negedge reset_n)
    if(!reset_n)
    hcnt <= 0;
    else if(hcnt >= Hsync_End -1)
    hcnt <= 0;
    else
    hcnt <= hcnt + 1'b1;
always@(posedge clk)
VGA_HS <= (hcnt < HS_End )?0:1;


reg [9:0]vcnt;
always@(posedge clk or negedge reset_n)
    if(!reset_n)
    vcnt <= 0;
    else if(hcnt== Hsync_End -1)begin
    if(vcnt>=Vsync_End-1)
    vcnt<=0;
    else
    vcnt <= vcnt + 1'd1;
    end
    else
    vcnt <= vcnt;
  
always@(posedge clk)
    VGA_VS<= (vcnt < VS_End)?0:1;

always@(posedge clk)
    data_req<=((hcnt>=Hdat_Begin-1)&&(hcnt<Hdat_End-1)&&(vcnt>=Vdat_Begin)&&(vcnt<Vdat_End))?1:0;

always@(posedge clk)
    VGA_BLK<=data_req;

always@(posedge clk)
    VGA_RGB<=data_req?data:0;

整体代码。

module VGA_CTRL_tb();


reg clk;
reg reset_n;
reg [23:0]data;
wire VGA_HS;
wire VGA_VS;
wire VGA_BLK;
wire data_req;
wire [23:0]VGA_RGB; //R[7:0]、G[7:0]、B[7:0]]


VGA_CTRL VGA_CTRL(
    clk,
    reset_n,
    data,
    data_req,
    VGA_HS,
    VGA_VS,
    VGA_BLK,
    VGA_RGB

);

initial clk = 1;
always #20 clk = ~clk;

initial begin
reset_n = 0;
#201;
reset_n = 1;
#200000000;
$stop;

end

always@(posedge clk or negedge reset_n)
    if(!reset_n)
        data<= 0;
    else if(!data_req)
        data <= data;
    else
          data <= data + 1'd1;
          
endmodule

仿真代码。

仿真结果。

  • 32
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
### 回答1: 《FPGA自学笔记——设计与验证》是一本关于FPGA设计和验证的入门教材。本书以VHDL和Verilog两种HDL语言为主要工具,通过实例讲解FPGA的基本概念、设计流程和验证方法。同时,本书还通过实例演示了如何使用Xilinx ISE和ModelSim这两个主流软件工具进行FPGA设计和验证。 本书的内容分为五个部分,分别是FPGA基础知识、FPGA设计流程、FPGA验证方法、FPGA性能优化以及FPGA应用实例。其中,FPGA基础知识部分介绍了FPGA的基本构成、组成部件以及通用数字电路设计知识;FPGA设计流程部分从设计输入、RTL设计、布局布线、实现生成等方面详细介绍了FPGA设计流程;FPGA验证方法部分主要介绍了功能验证和时序验证这两个方面的知识;FPGA性能优化部分介绍了FPGA的几种性能指标以及如何通过一定的优化方法提高FPGA性能;FPGA应用实例部分通过几个实例演示了如何应用FPGA进行数字电路设计。 本书的难度适中,适合初学者学习和参考,同时也可以作为FPGA初学者的参考书籍。本书涉及的知识点较为全面,可以为初学者提供一个全面的FPGA设计和验证入门指南。其内容易于理解,实例讲解深入浅出,对于想要学习FPGA设计和验证的人群来说是一本很好的参考书。 ### 回答2: 《FPGA自学笔记——设计与验证》PDF是一本很好的自学FPGA的书籍。这本书包含了FPGA基本概念、设计流程、Verilog HDL语言、开发工具、测试方法等多个主题,非常详尽地介绍了FPGA的基本知识和开发技巧。读这本书可以帮助我们更好地理解FPGA的原理和功能,从而更加熟练地掌握FPGA的设计和验证。 此外,这本书还提供了很多实例来帮助我们更好地理解FPGA的设计和验证。这些实例包含多种应用场景,例如数字逻辑、时序控制、通信等,能够帮助我们从不同角度学习FPGA的相关知识。而且,这本书还提供了实验指导,通过做实验来让我们更深入地理解FPGA的各种知识和技能。 总之,这本书《FPGA自学笔记——设计与验证》PDF是一本非常好的FPGA自学指南,通过阅读这本书,我们可以掌握FPGA基本知识和开发技能,更好地应用FPGA进行各种应用开发。我相信,读完这本书,你一定能够对FPGA有更深刻的认识,并且能够灵活运用FPGA进行各种应用开发。 ### 回答3: 《FPGA自学笔记——设计与验证》是一本以FPGA为研究对象的书籍。它详细介绍了FPGA的诸多特性和应用。该书主要分为两部分,第一部分介绍了FPGA的基本概念,并讲解了Verilog的语法和使用方法。第二部分是实践性较强的部分,通过编写案例代码进行实际操作。 该书着重强调了FPGA设计流程,通过案例演示了FPGA设计的全过程。该书还提供了大量的练习题和案例代码,读者可以通过反复练习和实际操作,逐渐掌握FPGA的设计和验证技能。 总体来说,《FPGA自学笔记——设计与验证》是一本非常实用的FPGA入门教材。它从基础知识入手,循序渐进地讲解了FPGA的各个方面。并且,该书重点讲解了如何运用Verilog语言进行FPGA设计,这对FPGA初学者来说是一个非常实用的指南。 如果你对FPGA领域感兴趣,且希望通过自学来掌握FPGA的基本操作和设计方法,那么《FPGA自学笔记——设计与验证》是一本非常值得推荐的书籍。  

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值