FPGA学习记录——VGA的一些使用(二)

参考书目:《FPGA Verilog开发实战指南——基于Xilinx Artix7》、《FPGA Verilog开发实战指南——基于Altera EP4CE10》、《小梅哥Xilinx ACX720 FPGA开发板自学教程V2.2.4》。

参考视频:b站小梅哥的视频、野火的视频。

在刚开始的学习过程中,用FPGA将FPGA点亮液晶显示器是十分酷炫的。在上述教程中点亮液晶显示器的教程较少。程序中输出更多为RGB565格式点亮TFT屏,在自学教程上对应不上。


module RGB565_888(
data_in,
data_out
    );
    input [15:0]data_in;
    output [23:0]data_out;
    assign data_out[7:0]={data_in[4:0],1'b0,1'b0,1'b0};
    assign data_out[15:8]={data_in[10:5],1'b0,1'b0};
    assign data_out[23:16]={data_in[15:11],1'b0,1'b0,1'b0};
    
    
endmodule

RGB565变RGB888可以直接在低位或高位加零。

 这个是数据位写错了,图片变花,后边的拉丝是因为VGA驱动的问题。

 

 这个是正确结果。

  • 30
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值