由多路选择器的VHDL描述入门VHDL

二选一多路选择器
二选一多路选择器真值表:
a b s y
* * 1 b
* * 0 a

VHDL描述:
1、顺序语句结构:

library ieee;
use ieee.std_logic_1164.all;

entity mux21a is
 port(a,b:in bit;
        s:in bit;
        y:out bit);
end entity mux21a;

architecture one of mux21a is
    begin
    y <= a when s = '0' else b;
end architecture one;

2、并行语句结构:

library ieee;
use ieee.std_logic_116
  • 5
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值