(萌新的数电学习) 用 VHDL语言设计8重3-1多路复用器

实验背景:多路复用器是一个组合电路,它可以从多个输入中选择一个输入,并将信息直接传输到输出。选择哪一条输入线由一组输入变量控制,它们被称为选择输入。通常,2n条输入线要 n 个选择输入,选择输入的位组合决定选择哪个输入线。例如 n=1 的 2-1 多路复用器。这个复用器有两个信息输入 I0 和 I1,一个单独的选择输入 S,电路的真值表如表所示。
在这里插入图片描述

VHDL语言

Library IEEE;--ku sheng ming
USE IEEE.std_logic_1164.all;

ENTITY bachong IS--shi ti
 PORT(MADD:IN std_logic_vector(1 downto 0);
      PC:IN std_logic_vector(7 downto 0);
      S:IN std_logic_vector(7 downto 0);
      D:IN std_logic_vector(7 downto 0);
      ToRAM:out std_logic_vector(7 downto 0));
END bachong;

ARCHITECTURE one of bachong is--jie gou ti
 BEGIN
     PROCESS(MADD)
     BEGIN
       if MADD="00" then ToRAM<=PC;
       elsif MADD="01" then ToRAM<=S;
       elsif MADD="10" then ToRAM<=D;
       end if;
     end process;
END ARCHITECTURE one;

RTL视图
在这里插入图片描述

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值