正弦波发生器的verilog实现(一)

正弦波发生器的verilog实现(一)

使用工具:

Matlab、Modelsim、ISE(也可以使用vivado,这里以ISE为例)

离散正弦波的产生

  1. 将连续的正弦波离散化,通过matlab实现。在这里,产生256个采样点。
clc;
clear all;

s_p = 0:255; %正弦波一个周期的采样点
N = 2^8;
sin_data = sin(2*pi*s_p/N);

%定点化
fix_p_sin_data = fix(sin_data*127);
for i=1:N
    if fix_p_sin_data(i) < 0
        fix_p_sin_data(i) = N + fix_p_sin_data(i);
    else 
        fix_p_sin_data(i) = fix_p_sin_data(i);
    end
end
fid = fopen('/home/sp_ram_256X8.coe','wt');
fprintf(fid, "%8.0f\n", fix_p_sin_data);
fclose(fid);
  1. 在matlab中plot结果如下图:

评论 14
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值