简单正弦波发生器Verilog代码Quartus仿真

名称:简单正弦波发生器Verilog代码Quartus仿真(文末获取)

软件:Quartus

语言:Verilog

代码功能:

简单正弦波发生器

设计verilog代码,输出正弦波波形

1. 工程文件

2. 程序文件

3. 程序编译

4. Testbench

5. 仿真图

部分代码展示:

module sin_wave(
input clk,//时钟
input rst_p,//复位
output [7:0] sin_data//输出sin波形
);
reg [9:0] address_sig;//ROM地址
//调用ROM
sin_ROMsin_ROM_inst (
.address ( address_sig ),
.clock ( clk ),
.q ( sin_data )
);
always@(posedge clk or posedge rst_p)
if(rst_p)
address_sig<=10'd0;
else
address_sig<=address_sig+10'd1;//累加
endmodule
源代码

 扫描文章末尾的公众号二维码

  • 7
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值