verilog 二维数组_起承转合,Vec数组的使用

✎ 编 者 按 

    不知诸君可还记得在SystemVerilog中数组,压缩数组等等这些令人挠头的东西,看似方便但不知各位在真实中使用几何。

Vec

    在SystemVerilog中关于数组有多个概念,想必各位在初学之时对于数组、压缩数组这些概念都有些了解,在最初使用之时想必亦是小心翼翼。

    所谓大道至简,SpianlHDL对于数组的概念,其只有一个Vec的概念,其和软件中的数组概念并无不同,借鉴SpinalHDL灵活的数据类型转换,Vec在整个设计里可谓起到起承转合的作用。Vec的声明形式如下:

声明一个一维数组:val myVecOfMixedUInt = Vec(UInt(3 bits), UInt(5 bits), UInt(8 bits))val data=Vec(UInt(8 bits),3)声明一个3*3的二维数组val data1=Vec(Vec(in UInt(8 bits),3),3)     

四两拨千斤——read巧妙替换case

    对于Vec,其提供有一个read方法,其方法原型为:

read(address: UInt)read(address: UInt)

    而对于Scala中的Seq类型,其也提供了一个方法read,用于实现像软件中根据index索引输出对应的变量值。可还记得在《SpinalHDL—小练

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog中,二维数组使用有一些限制。首先,二维数组不能作为模块的输入输出端口。如果确实需要使用二维数组作为端口,只能通过将其等效展开为一维数组来代替。其次,在Verilog中初始化二维数组时,目前只能通过读入文件的方法或使用generate语句对二维数组进行初始化。这种定义方式相对较少见,因为在Verilog代码中通常不会涉及到二维数组的定义。然而,这种定义方法与C语言非常类似,它表示在内存中获得一个行数为256、列数为256,每个位宽为8的二维数组。这种定义方法可以将矩阵中的数值映射到二维数组的每个空间中,从另一方面来看,矩阵也可以看作是一个二维数组。例如,可以使用以下语句定义一个256x256的二维数组并赋值: reg [7:0 data [255:0 [255:0]; 其中,data是一个256x256的二维数组,每个元素的位宽为8。注意,这里的赋值方法仅用于示例,实际上在Verilog中初始化二维数组的方法可能会有所不同。例如,可以使用嵌套的for循环对二维数组进行赋值: reg [7:0 b [0:3 [0:3]; initial begin // 使用2D for循环进行赋值 for (int i=0; i<=3; i++) for (int j=0; j<=3; j++) b[i][j = i*j; end 这样,数组b中的每个元素b[i][j都会被赋值为i*j的结果。请注意,这只是一种正确的方法,实际上还有其他方法可以初始化二维数组。总之,在Verilog中,二维数组使用可能会有一些限制,但可以通过合适的方法来定义和初始化二维数组。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [【verilog语法】二维数组](https://blog.csdn.net/carlsun80/article/details/77726060)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *2* *3* [【VerilogVerilog定义二维数组(2D Array)](https://blog.csdn.net/m0_61298445/article/details/123857581)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值