芯片验证之covergroup/coverpoint

方式1:
covergroup xx_cg with function sample(int aa);
  aa_cp:coverpoint aa {bins aa_bin={};}
endgroup

class example;
  int a0;
  int a1;
  xx_cg xx_cg_0;
  xx_cg xx_cg_1;
  ......
  xx_cg_0 = new();
  xx_cg_1 = new();
  ......
  xx_cg_0.sample(a0);
  xx_cg_1.sample(a1);
endclass

    如果一个covergroup被例化多次,默认情况下SV会将所有的实例的覆盖率合并到一起吗,如果需要单独列出每个covergroup实例的覆盖率,需要设置覆盖选项(option.per_instance)。

covergroup xx_cg;
    ......
    option.per_instance = 1;//设置覆盖选项
endgroup
方式2:
class example;
  int a0;
  int a1;

  covergroup xx0_cg;
    aa_cp:coverpoint a0 {bins aa_bin={};}
  endgroup
  covergroup xx1_cg;
    aa_cp:coverpoint a1 {bins aa_bin={};}
  endgroup
  ......
  xx0_cg.sample();
  xx1_cg.sample();
endclass

常用covergroup option:
option.at_least
option.goal
optionDescription
at_least

goal
weight
merge_instances
get_inst_coverage
auto_bin_max

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值