7、复位设计

        FPGA中常见的复位方式为异步复位和同步复位。

        异步复位与同步复位各有优缺点,同步复位需要多消耗资源,但异步复位亚稳态出现的概率更高。

1) 异步复位  

always @(posedge clk or negedge rst_n) begin
    if(!rst_n) out <= 1'b0;
    else out <= in;
end

                                               

     

 2) 同步复位

always @(posedge clk) begin
    if(!rst_n) out <= 1'b0;
    else out <= in;
end

                                   

        

3) 异步复位、同步释放

        在复位信号释放时,如果刚好在寄存器的建立时间与保持时间之间,此时由于释放信号不稳定,会引起亚稳态问题,可使用两级寄存器进行双缓冲。

always @(posedge clk or negedge rst_n) begin
    if(!rst_n) rst_n_r <= 1'b0;
    else rst_n_r <= 1'b1;
end

always @(posedge clk or negedge rst_n) begin
    if(!rst_n) sys_rst_n <= 1'b0;
    else sys_rst_n <= rst_n_r;
end

                         

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值