摩尔状态机和米利状态机的区别

根据状态机的输出是否与输入条件相关来区分Moore状态机和Mealy状态机。

Moore状态机:输出仅仅与当前状态有关;

如下实例,如三段式写法来写的一个序列检测的状态机(【 FPGA 】序列检测器的Moore状态机实现),状态机最后的输出部分:

always @*
begin
    if(current_state == s4) dout = 1;
    else dout = 0;
end

由此可见,Moore状态机仅仅和当前状态有关。

也可以看状态转移图判断:

在这里插入图片描述

Mealy状态机:输出不仅取决于当前状态,还和输入有关;

同样,如下序列检测的例子:【 FPGA 】序列检测器的Mealy状态机实现

同样是三段式描述,状态机的输出部分为:

always @ *
begin
	if(reset) dout = 1'b0;
	else if( (current_state == s3)&&(din == 1'b1) ) dout = 1'b1;
	else dout = 1'b0;

end

同样,也可以通过状态转移图判断:

在这里插入图片描述

可见,输出不仅和当前状态和输入都有关系。

最后,Moore状态机和Mealy状态机可以相互转换。上述两个状态转移图实际上实现的是同一个功能,就是检测序列1101.

  • 6
    点赞
  • 32
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值