FPGA入门——latch产生原因

首先,Latch 就是锁存器 异步电路中对输入信号电平敏感的单元,用来存储信息。锁存器在数据未锁存时,输出端的信号随输入信号变化,就像信号通过一个缓冲器,一旦锁存信号有效,则数据被锁存,输入信号不起作用。因此,锁存器也被称为透明锁存器,指的是不锁存时输出对于输入是透明的。这是对锁存器的官方介绍。

我的理解 latch作为一种函数 两种模式:(1)非锁存模式时,输入与输出一致类似于wire。(2)锁存模式,输出不变与输入无关。

latch产生条件: 1、组合逻辑中 if-else条件分支语句缺少else语句

                        2、组合逻辑中 case条件分支语句条件未完全列举,且缺少default语句

                        3、组合逻辑中输出变量赋值给自己

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值