自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(9)
  • 资源 (1)
  • 收藏
  • 关注

原创 Verilog实现任意数值时钟分频(50%占空比)

仿真结果:

2021-06-19 17:39:19 1356

原创 Verilog实现4-bit行波进位加法器和超前进位加法器

1. 行波进位实现方式:

2021-06-19 16:34:41 2287 1

原创 Verilog实现任意比特位数的有符号加法器

module sign_adder #( parameter N = 4)( input wire [N-1:0] a,b, output reg [N-1:0] sum);reg sign_a, sign_b, sign_sum;reg [N-2:0] mag_a, mag_b, mag_sum, max, min;always@(a,b) begin sign_a = a[N-1]; sign_b = b[N-1].

2021-06-18 20:00:20 829

原创 Verilog实现组合8-bit组合逻辑乘法器

module mult8( input wire [7:0] a,b, output reg [15:0] y );reg [7:0] b0,b1,b2,b3,b4,b5,b6,b7;reg [15:0] y0,y1,y2,y3,y4,y5,y6,y7;always@(*) begin b0 = {8{b[0]}}; b1 = {8{b[1]}}; b2 = {8{b[2]}}; b3 = {8{b[3.

2021-06-18 15:53:54 1753

原创 (Verilog)Moore和Mealy型有限状态机(FSM)实现序列检测(10010)

Moore和Moore型状态机区别:Moore型: 1. 输出只与当前状态有关; 2. 所需状态数较多,响应速度慢Mealy型: 1. 输出与当前状态和当前输入有关; 2. 所需状态数较少,响应速度快用Moore型有限状态机实现序列10010的检测:Verilog程序:module seq_10010_Moore( input wire clk, input wire rst_n, inpu...

2021-04-17 17:49:45 2005 1

原创 Verilog实现任意位宽异步FIFO

主模块:`timescale 1ns / 1psmodule Async_FIFO#( parameter ADDR_WIDTH = 4, DATA_WIDTH = 8, ALMOST_GAP = 3)( input wire wr_clk , //写时钟 input wire wr_rst_n,

2021-04-13 11:42:12 964 1

原创 Verilog实现任意位宽同步FIFO

module syn_FIFO#( parameter DEPTH = 3, //2^DEPTH等于RAM深度 WIDTH = 8 //数据位宽)( input wire clk, rst_n, input wire wr_en, rd_en, input wire [WIDTH-1:0] wr_data, output wire ...

2021-04-12 08:57:08 904 1

原创 Verilog脉冲同步电路的实现(快时钟域->慢时钟域)

实现从快时钟域到慢时钟域的脉冲信号的同步主模块代码:module pulse_sync( input wire data, input wire rst_n, input wire clk1, input wire clk2, output wire out);reg Toggle;reg Toggle_reg1, Toggle_reg2, Toggle_reg3;//Toggle电路实

2021-04-09 15:08:41 4940

原创 Verilog实现任意位宽和深度的双端口RAM

module Dual_RAM#( parameter DATA_WIDTH = 8, ADDR_WIDTH = 4)( input wire sys_rst_n , input wire wr_clk , input wire rd_clk , input.

2021-04-08 16:41:26 2174 1

汇编金手指

汇编入门必备,非常有用的学习工具

2017-02-25

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除