Verilog实现组合8-bit组合逻辑乘法器

module mult8(
    input   wire    [7:0]   a,b,
    
    output  reg     [15:0]  y
    );

reg [7:0] b0,b1,b2,b3,b4,b5,b6,b7;
reg [15:0] y0,y1,y2,y3,y4,y5,y6,y7;

always@(*)  begin
    b0 = {8{b[0]}};
    b1 = {8{b[1]}};
    b2 = {8{b[2]}};
    b3 = {8{b[3]}};
    b4 = {8{b[4]}};
    b5 = {8{b[5]}};
    b6 = {8{b[6]}};
    b7 = {8{b[7]}};
    
    y0 = {8'b00000000,b0&a};
    y1 = {7'b0000000,b1&a,1'b0};
    y2 = {6'b000000,b2&a,2'b00};
    y3 = {5'b00000,b3&a,3'b000};
    y4 = {4'b0000,b4&a,4'b0000};
    y5 = {3'b000,b5&a,5'b00000};
    y6 = {2'b00,b6&a,6'b000000};
    y7 = {1'b0,b7&a,7'b0000000};
    
    y = ((y0 + y1) + (y2 + y3)) + ((y4 + y5) + (y6 + y7));
end

endmodule
module tb_mult8();
reg  [7:0]   a,b;
wire [15:0]  y;

initial begin
    a = 0;
    b = 0;
    #30 a = 4;
    #30 b = 4;
end

mult8   mult8_inst
(
    .a( a ),
    .b( b ),
 
    .y( y )
);

endmodule

 

  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值